From 19e07213eac0abf25a84c2d88fc3231f47813491 Mon Sep 17 00:00:00 2001 From: me Date: Sat, 9 Oct 2021 19:32:32 +0200 Subject: [PATCH] Tentativo di aggiunta di immagine --- immagini/ed_man_page.png | Bin 0 -> 68382 bytes tools.org | 6 ++++++ 2 files changed, 6 insertions(+) create mode 100644 immagini/ed_man_page.png diff --git a/immagini/ed_man_page.png b/immagini/ed_man_page.png new file mode 100644 index 0000000000000000000000000000000000000000..8cb4ba2835adfa28f718e17be54ae095fce01c5a GIT binary patch literal 68382 zcmd?Rbx>7b^f!C~DM2YI=@5{t&yFcC5YD=kr->8>S#9`RwV-ryvmMnbbS64p z?E3U*Sl0G7^?tXTyp70 z-BU7u?htFXSHs0S%tgIeIM@99ntc5Q+^#@AcLQ(Q7Ea1P*Qu?mk>ZM6s@alx$&Q?- zz~5V==gs4e_;xSmrEruX5T=KR`@e4qXMBE zZWXGfh@hUW%|OcD-#aO-7gJ+B9d(9ZtXK1YP^PItyxOq@IV_%9u=luj zSoqs>@iZ3Nsl(#A1^eM^izd>ut*`=?^c225C)3DYqCN7zed%cg-?*5P97fuA(y&nlR6e_#K*fSjf^-Kt}v z%;W50b7$k=qOL20CUwz+*<&)BR&r?ShRwC-@0>35&8G=B@DAhOcsQINPkQjLV#KN1 z3LrP_ow$%@3aQzW{%yCD=jva?h4Cw6?||R5v5K_jx+7MK?ozU)c?$p|&_%mDd?-swJ zG?bH?)(zjn9j$ZkO?43M*}eZLC)!kIExBhmPJ2e(qpQ7s!2hRtR>P;ou!=nQ%T-9@J>jUDU+*GXTYp$CK34Galp6O9!1Ogy=h? zXb0kposB5x+MH6FtaPiX8Fl&FgNr`2JijE`YU9gQ-!(zcdBV4UXOd+;`JDG9 zu(pvA*1}6O=mtck-G@I#MMY%A7G|QG!0DY;PfZ2_yAC33le!1~)z|j&|+usO{^C;p6OH!w&Ei>vhkrer~dfJ-;GozeIP#eHa zPtI+@H=tIc234Y|hC4_a)XiwBZD?!~{M#K4PaHMd5%KXktSP3eFneb$uXOCw+X?UP z`D%}})!VA{h(iRc^9bf*;H(VQod z{5w6UuC%{Ay8C!`wTtYB4OYviRUOBVq>ELxHZA6{T)Ved2c&ZO_NrmevWiHgo=)i` z(jR)JT4lV-JKlK_Hs?B-FcSf64E~J)XB^m`8BH57jZ@~n4vG z7>+8KS~K&GW)AvgF`ymAw>nIa=fxA|WV)bfSZN^qP&meY2k!ureakqE*IZvVRUZDv#7Z?&UlR)iY#l#DC=->VFSDz{P` z=0?SI2VFbAXlG#4&OJz2O7cX64QL{d=UX5XAs`^--~P4kP^%|TkS>uizs|jBbrCE| zcMW&^P-L9En6z{(jwLd0?qRlJ*;N^eEbe_gZlCOy8Pyo*_xH|Jy2QJ&(fbeDcw0S( zgN}g7OLkg&2C;;r6gzB)ht;jNVcx-QA*)-W{~hqBcb23-I7XClwtgB!PrJZ+hVlZz zN7S;%tjowCV-caCxsW2`cy$Hk=~MVvPl^a5Po4H*lJx5Z%Y~`BzD_<>>BMI4&=QiE^7l?xCyQuU^kmgV;9P?Zl4%*MmsXAkDka zMoa|ne5o}Y=IX?s3~}ned0A#Ur8{5$ga1yI#i#v(!IhH7ZhiZT02%ExDs@X6Oz)a-nSE)Gzr^wpiW2_t7;$o$f zaXtYZuSM#OfBZL8bxlWk$sqV-{%*kNU0$h@->-CsFVc`Il<8+q3`@eFK1Fh{Z#A$+ z=+zl!AW&z-Q>R8_#Ou55Z7OV28o?m)#}9tih1L;F-}Qu&68<4c07o|E4PJ+sPm$=& z>2#Gd6+AqACo?7G>8E;Ui){OBBm?!)T1ABMA|);KxonP%7jqT{4ZRG7l>U>^KWo$Vqye| zL!225%Yff`U38h!Y2`+;;emdt6!{B5?g)=s_-1K*YNpFSKHHrr%C0;r(!(e)NSxj` z1coler1j?Q0Nzk%>71yjKX~U%{>{`(xj4Jb?LAIl;FAcQ==`nE!#=#g2D&ucFzfOv zE)7TVn3;R6XnqB z1~Eo*Osr2uLt51o0?=H7%P^+7>g)>yl3&G2u8o4HB=K~*#4XTMrDmyy0{Zw8-(Rtq z{Etg&zrHzQFzR82NZ|-63V!$bI1N##lEyuUSYeWSTCjfQvJ8iV-hx2D{DcOCJkMTg zr5(*40nHEgw(?OeDNHWZjdfgK?n%{p-TcIENKq+J#ntn<1Pfko`JV=HgDDe=AmQUwz(bn`JH;+uqJ#@5$SV;;KjRwkm6izHHw}gcMZy3x&tAvV*HTc z&f!RW0SP}-u-C}NMcD6w`nTX?jqe}4JK>lfKq&OyMcAj~S~5mI6~O!)7l(D{N<2Fc z5t0@c-((=oXYsV_YOia_HjXfHK|>icy&t@z#KhXrtUqR{w3s0V{c13EmAPIPi1gTV zF4Qf1VfmmNK5E7?D!9pc*4%#MvCl$_aL z-9J3s-KUfXHkl9Mc0Gg-enwJwE^s%fl=Qe|t4`QJaJG3Les^Sv2ueEXaCJL9@slP6 z4W2Bdot=Z&PcJ<>oT2GojM4a&%EHZ0;972&_X?*W18T@FJtOrhc9) zP=uKCLqw1|R?Sn$ke2Uw<(v6tZz9VnTj7h{iFj)x6Qk8GtjCZJ$ryStQ`O;*u-c6X zg*+FgviCD3hD(HDRSbz#HaC>~mu3*Bqgld;t)aHy35Mf~oDs!FH+$pACMZZfMkB^X zhjnWzqwM3w)4`hyQ=Lcfg>s#PG1&e0R?_(e*L1yg2zH5D&2yhrPDIPqgpW6??$;v~ zBdz+S4^x@IMpp->m4CK{C4nh5;-bI+ys^jfg9rTX5AkXOOZ)7X0kF+JALrdWCRSF=N3PXZolJUx91xbSBt=^aYHBeVDoXk{ z(J%wLG4~NX&3X8)rq}bnq&eO8_feQm!`18y>~X|E;6wP%a4lQOi@VO70-3=xtxH{D zk+Ip2n&4K>VnI8~vRkTVRY^m*-YbD#z&einV!wX&=dhg0bU)h+rPKJG>qf43xx6cY zC78yIiX#LKMFiX#m(#&K7Oh;mXw4#&b$e#(*I^s-ufuQ1ot0jUcxc^Gj|4Fo#J+%E zTWg*y`c*yTeSLk#(xn_kEv4S%LZVq^^{w-HCs{jMhn~n(x;GfIVi4(Z<_v1}7k_{J z?kHVaw<{c1p~Qydo}@-PtnVwQc_f2c2_+beN4 zP|C2ghfH}^tJ=Ef`};%{!r9-y$I5J%;Bs=mhv|R{@0^dCq1*FK7=pJ(l#5;mxK4-H ztVjZU=mKQ!FTkUt+e=d(E>H9!LcQr8tKj=3BHQe@iRsg&CuEU6cd{uywhXwqLAEXF zfrLUM-Cv!F;@!l=p5%H#U*R!p1Tzbewm~EtgGm<}R!1MazoedS^ndedswcP}*LON% z2O$N(y-g>40&=s`hrIh7NE(AKoJbn89PudI9kp%*YR$tD6xP(_y*-Bzd*2F0V|PWY zEsRoqx%esYm|+SF3H+Dq`sas_1V2@Oz=8_aSZL_es3!RnV;i5Z88O^H@M)oo&4t(v_>RQMH^ zgh#Cs?D+VIc6N4HlbPJR0_MHc4rZJ1ZDV}!XJ&Xf?eQ=}LsQ?sd)JxuYCxYehH=r`l)4*oPWVAdsp}0=Pfq`N$=cwKEmD~jmRIJ?;-lA3<+-1g; zGXxN~$38d6a^;)Un#8rstdo(cDHRnJ!jjw_9LcOejytF527op_GV7SdM$wI;5;v!fuJAeoqF>Q) z)%F3q6u-kGkd+yFf-kJ#Cybx=mj{-X8kqgA1alV8g`PX@LEZ%T7v>%Hb|JeSg~i6j zJ!|!N%LH4xbE$flq1?2%xBy?!5HA=+msd29P!ig4jGx|dLBv(+y~Ug&$h0w#`1bVK zo)Hf@IS2+Cpha3#tgIkEKfnIlii(OdFL7ehodukb7-I1$<}}uuxgWbK6uk8>a(&Kl zeQw?HT=r+5f!@i>hjbZ;sH-PA9EBX0vI3CE$W-ew4dmM$_0r(J*7amoE87lw`|Q;r z(<<+fNrfz2rx6#y4-RLMJ$gruY##;x_FeV_Mj3C}DsiHWI$4=iSK(+J=}GB<~w z)H)5(B`x>p$QUHuWoxt`knuo5+eDyP$~=P_4kj+HISx)n$-U;IZr#ft=H_mfJ0fmp zRcc_z$rANSBf|dp*HI~4>{j7IYQ?%iqh?}8Mo$<`BCwHte}lmUTrMwU>h#b^^wEV~ z4j&OhL+`^pM1^jfFhJ@fjo7pg#TN7VejOM2HFul&U|pWMkL%m6aBk=K8<6>&dE*QD zWd5ZWv_ZQNf(^kUeqlc5?#^m~-K~8r09bnUt%&J~56597@D>+i{F)RYzJHO6?O%;1*D6GxL~YI zOi8XvIIzL+n7gBo$|*jRMsTu_TnBK60zd!!X8paxM!!M-V{_~N(qm`&bfdKIocXh_eFtdV$p_9YyniF6exP4Z0*d|km57a(YKtUk9J{N3j z`MJxq&RDGn0asGV7?K`90$Hhu5$qg$o6$3r!etMveLRcWBu$OzjYEHPLf@U{z@#-_ zsq@_P@d7R6rTZf^?OHo5)G#SJU4G)O2z=nC$yNEF(~Xa1>aj^lp-Eb2{C0({?>0a9(A)72D@DVx3T&zhT6<3oFw2%kwjK}KeX$s~tn$PGLQKuD`B zmjXV&lKz7X181ua6>njfH%4FwsW8}=zQ|-|0rrNAZG47H zJwa&rU&>C0s4wWXa?u5ex$uk!JT7H%e9xc?Nj~Wp46tFWbw|R3tnN+O`@b+mkS^4> zn!U*~=z8k58`eXN7c*|Lprllny3yYC{+;amw`OK^%-W5i*8w4{2G?jPsHh@t>IAwT zC+>yXRWwT*;h<8+OIviv3Xd|K9;xqz?lTU{am;dHpY;?kczz)wtLHwMblSg04MBV| z<9GeGf5xb|+_gI3mJu1>=6uhM)ZCawGBN@xE-I1{mT5{mGf;-FHr9`Y5i7FxDdvfp zZa29U-u^-za*geRKefgPH!A@M6^Q`!?sJ!>NBKKn5k|QguG<4Hj-_!ZS;b;&Sm?IR z#OA}~ba@-EJ(6$J-Sg$HL_HN_?i%kN=0c4I(hA4}){h@Q0POx$wOAJoM5oI5Vr>b6 zuP2`zUZ93!hl_f>HsYRi+}1#FxjF#yt-e|cAxW9)u;N^^5QXQoU5K_{6OwRncnVq` zY1v#`J6U6W99fD@NCikC-NlbW!x)skWAQ=cCH|Rv7Vzm|F;MbD?w&x&SZ$s*8>m_p> z#~gKa@cdN$D--B#!}JE5z}>e}0C8Li-4nDtoKmHG?JEu@^O2P_UgAkKpFrI1hNkSO zcnHz(m?m_@@|7C!nr~Ox0c)n(bHM|+R9k}e92^Kh0vJ?FUe6#bI-!Mg8rP<0s$OSB zmr(lk_jr(dpQq9(!N0DenMD)QN))SXjhvk&yte}-w9oFH< z?gQA2ChX<5rU<3NpV3)`;n98JBwj7)ql-Nx0@9OfcC)v~H~A9M>k%}TFdg6$z;M5$363cSinblT`x>5$f)$aEu#umXEO! z$h+|VRnI_vB9k~g=yg49n!>#e`yvi2ydNkj>m9`t>4UaHp0yo0ZifGP%X$7*+-m9v ztAW&Ek(JsJ+ZX2>=;;nlrJw^JPw)j5nN&IXMmGn6eqi7{yuUa+b>FNiF7CLiX7!@N zsE2&u>Z|NZH94UFQDk|tB2_MSaEBKh{6W+aS77BDn1`#Uv%{3nutXEz+zECt*3ic}*YT4o3BD(+^av z#o@-nTJTOMdk7~0M2)O0SsgkBd{AXL+$k3)sCuoFKRKP49MUp+{Ci_?J$=0jv%N!dIq9n|F!$E6?eJ$RkKrDQpk9C7IBy?9L1ve5ED=)SR(eY=h!^$|2~kC!}ClM%sec0 zz_hnw(JX(Xh1I6CIy_+!0shy()ociyRuxg%PDgp|fNW7Trz->fm&o(vwmuoOz(m4%_$_(y zCry0Pe=$bLujU{BzHg79r{Z!ISaGk-{lY0el^T=Fa)kzHP9MT z%#e|f9(mz{)rNcN5?^4?QG~FY(A$e5L%@a9*475{z3OR>;;lNuD!(5aU=a+dzN$e2 zy)mE1?8qRaH+sPg@sbPr<~*?JW_*oiP}_>`VL)E*yh9fZl?K?d%)_Y?LDb^S7-#7t z)%NeQ0D|VVCTMp-zD9OEK{Erav4_hZV=!!Bz^Gy`9kiqF(uBdwh8`}Fd_0%42J?o% zd%^*hI@g&{6xwkcl~ShP#|D7-xpUlLIgFaON2Ci@20hUkt(9bu>M(O2$4fmUBP03) zny8nn(_wJ{FZf{WF0ZamKodz67513oAJ{3D((JnAj#e;L+%vjHYn2|?vU_gFcfPxb z3j(M~tj`(Eb5dUa?`8I^$ugpxb(Ys>ZxtIR1y~8tYn}J-n01={r)wv;?~ZuD6NYoH zhuzjf*J*fNVv>f!ON+I6CePl*+8xX~GwPLLOGcC6E1h9M@;W*?6q+nlh<>Sa$1c(Q&IU*vtw1F2t@qY)mwPzuEn769JpiVl4!&<+ z7KvHwpLi0PqVGNQgb*6sv* zb5JL9PY8PWnOf@19VFcI{NPwW{p+ZhiG-_-a zM$AuLmk|CarEuP!*-kR(A3i9Rne8|b3)w$qc~0a%W;$XpR38QMHBI**uCkoytUbvP z{Y6onXY|+h_ubEN`yI5PcbtSt;G1n;)yW~S1_U*+^Sh?{4IV~-+R`p@) zE}&R!trhB-ym@(Y9v9PQ#;Siw2Ki=umJ18|;QHxX}b%|P@4`^?w=LD>zt z4H~-aXm3B>eb1tQHhD1J=**}2#?rqyja1@fb)|Q>udV*sqyCzZmR0w)sEG;9r1f;* zp$;!az49BIrDp4~$M#ZF``vNELM2>i^R*E_4dhCIS+_oNd08KQdyeSYQBe%B((_5% z`N%uXs@B1o7Aim&3P>jijgNoP*DmoTIV$b9ql&SfpK{TQJ@ceF%6P|W-Q z;MYn$vd&Ji4iG|g8h4o94al?P+|&dBi-iNhSe91x0bS))FxBNlaOikX zBcOEj0s?A+HD8&>!L3(I9AMH;Qwk##UGV;sGsr+p@zfq-VSUgRvy-qqEx~1Qa<*6s zko0iwSwHd-Hu%i}xEjQ_>F(H%cP2lRb{(T$j!X7jVtnkcB(vwBfE!BT5ShEvqIy{V zn8F{?<$vUpL}P^XmO?y_fX@zxn^)ytlA+=tKYvP!)>RS*EkJM92VkUQ|SJ2OH0sazcBxW$q= zDZpX3y1oN{GtvU^ZW*W70NEn~^gIOpG`GjAn{Vp1Mm(2?e7+yPXC1GWQuH=Dqovf? zxXzZq96QRhXKj&sK1$)@Lto#t+d6I<9Qt?VtL1T~6WyXm6AMI#J>DUKj{D!UWFA0^ z%3PolNkb<4Gp-=Wu-=onzChCqA8Z|7m)6uew0LX&v+=bgJ_y#ZUTx@pD)B4Qm)QO* zBN$!jO?PGPdoE9qkXHM8kd`4!GF{&X)D#-sxJ5-pq9WotManDpbNt>D$=Z&dGE+;OfZ z3nJu5W>@BpAY!5h*svWaB9GpAgNBcG(6kbo-9oX$WgEU@wpa=Ffv&MIsyCt=OZVt^ z9&deeI(P~65MK!vC-z6_QOvr+pFkKWxf$R?p)f(pU1f@yWb_*}K>98u!$-tqlSw7G zNOdUX6l)GnA;5n^efXxXTJ7REd80h{N zPLS1XuOI(}R^ zmDdq(qd!3$u&j47(xP$Q&+ISf-2uO%ctdg)^lIR-`%6LC_FMz4=v&cJpE057a%);Z zp$8QBXm_*(^0R~s+uwk%0aON4#;7Qq$*iTe4#|_Fx-*b3FuxS+XL!Nu+63v93VDoMk}PY(FLuf^qQp>=OhsUcB^9byW=q zyUcKl^o`B5uQ-r4p#1&5K%E>A$9gp&e)NNs{tR*6&c*5W!Sf;VR@0R;n{g|HgIb|< z=8rhPLVHo(m?@AAedSa&0EB2d)xxBaaFmc3(g9aTK*rQ4ryfR|a?i`T-sGt@04&dK zC+JcCA?v%@Xyfb4{e=>D9tmKh0ARzCT?*y-Q_(VmZ?#`zLkG2LfGnQzo5mW!=VSuG zN_iuzqdwlH4nw@kC7OtkVV!)4svN0ph*qVWTV@TnXFDV1izj8*&HdF!v zkLD$pIp4n;P$|b{afVQj@V^CA*_OVEd8-Ff)pXqqXT`ce-hu zib;TEi$%K?h;WzL-T8vnaSI$@r5taQ+4d5_? zxnUiG%l$D>A-?J#cNEHGVV7I!rn+;^gw+tPy$?k`efm#hlA&s!W-PiZ} z+GN)WfI^jZCAeR?tPN6fPEyK*9xyqr7sID?38UFfAG?w(wm=}l+`S_FnAH^{n;F$wPPq2&c`5;5XD_$ujVDEf`p@>~F#^3jKclQV7w**fAq}|2Ysy zi5L!4lbFpkzSi}zCyy&=hPuRT&ND?W^EEtNyDi9@?H9VpmhhTQMxGYQ)u~?GHCkU0~Qj?bBB5 zZdi*tD_te3k4Wibi}8rLjEHuwDao+IKJL>>13_Si+Q5bjD8%pptk29O2LPsq%o%+^ z8z_6!3~&Vq$Qa*LA~xxia^C;|{v}5vK5l;^j)YdSjET`gbCmQKdQfTcSR%|nkOD{! zyz|w;qH-IEM6g_)W{s7fuP=xoN<#UkI$T?u2&gb`pUd($CQTe6;2$WYuwh|*m}G9w z%R?7aI-V|?^Qx*H2vl$&WDGJ9Wo2qjPTQwI$F{dWZ2vkGv0AFrQWF9JM2zxml4&p% zip=Y}zkErX^(q{{CnzFOpG|{SZMVXRSW5PN$CmQo3bnjcKz@Q)sAd@;#pc2%k z@7rh8zQLe|^}8cRkQ@bSYKxB;0@K~OkF7wMp8l5hfq(nn&NIbF4X|)r5fPDC zYE-}qm3@$#n7FwK0Bk7+I2afZ%ymNl`o_Yy;!%@@Yr4!51E8J$9~2cqg;%R|dc(JT z#TR_u>}xdri3|nzf_1yX_ac=RqcU~Mn~NUKie;kipyPq7;EEQCtlm(R`K9_-Fp`w; zItm7F1=MkI8>uuCSu zZU8)Qzul{t$_EjVx%hhPytiBsM#gYp*dwn$8zTgRe(_8?FIif+(E$!V-EahQIb6(s zX>O@uMNCTe3qziNmP`+FCs3@@!kp_iG%Vmb+GI&qd_R$(w4D{_O zBD@O3#1d^7K6~rp@*IYzVMGN;!GGu`=686(^p&d%$S0Jy<8fGvf`EVVv*GJOfffeltehReM~=Q}^Plv7%)_%p*9z z7LIhkduC)}vfBA$tiMSLMDEfh4GaMJsObz(#sr5GT7k>+Gv!@<$OepAVCBmF6%G0zv@pohw(n+{`l_DN5PooWpZLc58}MxR78EI57%>^ z9WzUqqkUth3UwdE&vzzBW@cv80hf8%QxPf*7=R79wSxTxP`!(rF4LSAHL0} zM4;9a`LntyBsF{Zi;zW};YV4ms;Wi%h|og|U~!YKPmtZNI%2$i5L)?Wh)S9R0ig5x zG-Fz;MYlTW@I9;=dwaOXb}Io1#P%_5aA3+y(_zj*20AwSmXrl3lmgRqcO}&_j)ni= z*_&Fwtt)ttXJ*^p07^p_DCkuRec8Sn0?-Nz6Vum5hnJE99ESJ=9~K-ZeyRf8!Pg;7 z`NqN6p7UysI9%`nM+6uLr#5uNui(aKM332&4&8OWjhb>wES@n8$W8;5gii)(*kKxo zo)7e`3>C6}fd4O`m^PkC3CZ`OK*IF#AyLn34-Kel`-HJjbtWaRTU$|P(2`xa`_bLJ}94Laz;_K7lm}*Q3M`6=JzFI?Fv%l~C zn9{?-ZVCbcYoFiwe19R}#_BTJ*%*wSh9-RO_^ zYJ)dt@?NZmO}Yh8G}@`MUt$mBdtMyxAMsTaLLiY;uE=)_yf&2;E9p8Sj0d0 z4cRtr$lZiXW|XQA)_UJn6I8=^6CR`XlWZ_3TcSD0{S2SZ@K*3QGC&a9D#?5>CE&Ph zs15fUj$l#fVs{d^0hcT~!&il2t<-A9ftc4z9VY#=L_|h*24V<_MQjdX0tE4WBFkDD z*wFrbTM;A-U|6@iqMBbPD|8^QHg7Kvl2&dhp&DFIe!7aafN#W`!ljZWaS{KFO|9Ju z{A9@zxsWqx_=*J!t1jjYe+qyH<3E&;ztF2b0ckfnq4va(0mTGZY*IyZ>0#ub8MuNp z+cYX%m;ij>$LqXHS4KZL%r7R)AaXiht;ijEy>Z((|A`t`9Mc& z?WrQ&c3ypN`51siz;8r{9rqcihYI<%_em0{W6}Y*ysX+|*ZV})hwKn)u-b>Rpv? zL0fl;K=}_~gu-dO&I%P0&On_c6*V;hkgczoA=BN&KU1}>#Q`!Ax=r82AAO)@#$z-a zV54Jwu=uCcRP>6O$UnJhn8sE55n+F}NwT-n_}7Wm)!|%7u53yuu=7v-BJf$VL;{eu zf7h`C4Kc~cVu0!ukosda#`Y4%l3ZHw;Ghfu!1NCr-M1&J3Wj*8rP|kDD*-ujSf)O` z*n2lGA3!1?ataE??J|0$Ty#>iIXpdx03i_8fP8UB1XPd_8>HF zr)^AXDbe3mmZ8znlM|5Jb8}*DObnpIojKH2OU(!>Ka~|HF+eTQhY$yo_UJS|g}ro5 zP+`WllUFT*&sQEC*t2D%+uOS$Cf<@9>Hz~m-(o5LG$O1}p#IID;51%sEB~R@p74hm zP>nH%Y~8g4S!0;k$>CyWQ*Nr3?&%~4=o(O600II26ZW~UuTM004-g1~KPZ0hWCcw1 zUAekG=EIdCtLEO$>5qsrd}c8V&6mOGK1geeBoTThBi-`sChY+60_b?j zY{s!kX3)wOpvdu^oSZ7n6sXi==p~S&M&huX?5tUm>5d}mOX7kE7VEV{r=}9~vm4RL zWe66ndkz3w&HixS-RWQ!5hy9bYOvqv#|;b)retHoe*OA2$QLL`0aTtYhKx;QM8uUu zLZOuoRI-m!hiNq}soaXa<&cU_pbQjXX<|K{bV0#DMu}qJ;o%h}<%r#bC?dsU4{Z+X zO9V`8>@5DyJqUVf`XQTBFXsIcL&K z&kCC-pkoNP7ZrW>m5{K|UiQ=$1rdF$Hb2@7H{z4KOZu3M+_}Z?PFt7O>eWVIUYBj( zsYN%02)w!U!<(sjcenep9{$_(ae!?&9ZgPHK8jFN*3UJaY-)vuM|Sq(G8|8lL6*mp z$F8kb@ueZa?3CF(@bAymA=on**l!z3Gg>l=TKJiHrAo6rMY?U8_2p`N& zdcwPPoKE8s@a&SDAI_i^Jm~r{rEnVDBa@NyGopi7EzZpVhh@01JE8stLb|$!VbEW* zglcIo4>&r(lxxK1n_C8}rE)?R{zrb3hx>@6&0irn-BiGwrEuA_M(&K2nS~v=hVjj{ zh>5KW4(sKU*E*N@Y7cjZLwBnu%L#DS9As>Wf|5jVlJl zq_g^94t#KTuNRlqqZZdJ=HS2v6fKrb|2?+2&fz+H510}Bj~|nF&&+owDnbb0^_jNk z9B(^MBmjuqwB+~dD({w}hp`TWyYI{0M##Ksk1>Eijf((HtA!>#Z22wV%{J6@yAZ7B zX6JddFo5^E_C)&iSph1s4-HWD4ZGZNw^(vQ?te7#rAFc2O;{JfkoJTr7ASgzIra|i zLIx(50T(?nKMsW+44UGM{D&ARb=3d=^6?j@;S&=ve zRbsxfg+8%x>{$q-g5#N;!7sQBB%H`89o{-+4m|!s+|YZe2MsVB$VN&y&p@JZ zsGMADe^7-Ag%vKJ#7MXnt~d7d_5&mKPh^5JI1@{oOsv;0WhUP_p}8Q(C;RN$?K`S3d`6Cto10?4XcSfT z9Gs~V3OtU%oIA}>Cc74o z_;=4y3N80dA#xKjTo%k}!VVt|fa|PZ7$B+0945|1J9Jn~7UN{_1^->2Ll7|bce1j$ z9pSnHo}m<=R>1igytDu3FQ9|dpYN2Qv4#or$f@i7(9^dz1#4_&OQYqge>OFX=|39y z|7KI`{10i%FrO($TvhE{ZCw-~;Bd&9E^@p0fyWjMX5qK!*$HOJHd_7Akb5pB(7X>q((CBDA z5kVo?{AT&Lvtu28=n4;7gKr>k+#wjP3Z zCw^``yF|DE&g3qY4U|DfY`pkCHcm%fdwZUkJw7DynLbx#)C!+zxsN>E7+`=7>$b21 zs0BdhVpcPis6>;+ZA2wa!L6;0sD^pbBw*uHBYuJH*M&MwPqjF$+d~ueKHR1WKukwQ zM)w$iltL~<=oyaSJ!aHPj?UfMmNMQ$TlBjT1>?qw3r~l8fdwC+&e0%|xs6>ORH6-*D-+vw^ie+LU79w8H1GPFH`mAH)NBcm-6t z1G-?n%Yna00CKkEksz!#QKB*a>Nd5>$Fw>1n&-D|ZuZ+eIky}=$Qzq^6e`{Fv~_|1 zlgdbERBRpX-|%>Nn*(LiD=i%-2jfSWWDv}>24~4Fn+34p=19Mr>E6})wP!sPz^084 zn_6zhohajl8aO1pm6CTZ7$3*C!9bDn)NPu5rFZMio~zUSIlqwnlF*;M7!)KP*q{L9 zj*-rfLTvNo-U3;EU~sIii~LS|vp^xto0p>}E6=aZH)UWI^WfL0X!tC6ldF$DcTkM= z^>q-)Wq;mJ^J*M^`Tl}WDOZLNU}Q|q4<2lQwE5|4La_PLqb~q<=4s?VQ_G~YAp%$x zI5z+sVE|~_wztovZU+1Ct+6qN z17Tz1EO<0|q?74C0_U);po`oO(cE**R*U|Kz%&nVd*7nF94#`rGz`sLdP8r$p$`>(2iJpuVPqYGC_byzyzh zxoxt)hr6o50AUCogFG&FgA}uSmWw{w8tx~J=4)1k|HhcsYhfR*KH8f)+PnI53jY&J zS*Ts&?f)Zgb)OoCaDU`)@8~FVUqc#95+sR8U{r~^Y$~Dg4x9e|ezeZf^!#WFzwCYD z>OdIImxq{cNGR<47Ck+u!+Ap3_jgClN=0p8(~_lR#cW9!C*M;o>CU=2gKaLQ=7|<> z(~+gIEo4y2!%U?0T#ez-&o9%pHcX@>zw~w-t9@*VA+9xg0Kv$B+@dae+Y-Zw6L7L{ zB~hc|cp^s%IGy`pa>Wc-hFL+_#^CeuUEA8)`D?!Ur39V6X#X3U+(??|duwKHA`s<{pv>ZpPsnW{y);<|HnQ;g*;tJNyR@2`P?tC6FS(v z3M9|>ZKy>dEv(P1h1Z?WTYlER>Y>+ApYPSS7m``v=7*o}8_L}O%n#(yC#x<@L9Dx6 z=5IzW3SY-Q)*?j1E;B2m_9@+uIAiK;@NMqThWcG46#;gRg#bLDE>{$VBJj^2|F`~; zKw#;AY`Gqsf-|3b06x|~O~FW5Pbp+NA7yFlsv`}6n0f}x^}IY5H9#j@_n1*LI5qfy zUg6-)$b1aR+;g{Z=aH`V8cg`l<`t~I5?>Gw4qufQ_3AZSK>m##vt1ewtG%`%Iz`|W zjZ(DRNwQt=9C^tbTl@4FhL@ecauY-(c0x`qSG9?cldTxo%(wl$aCmzf)D@Srx82G> z1g&qK=ZP_TnrK<1_E?BA3!F z^<;UGVN5umWlvFa99{b(=U?Ifw-$x#F2SsybxNy#QTHJC$XwTVuIFJtBHvT$+Z4xG zt}PG7i~H_As;dZ4f?n4$2D1ZjsaG+wzV|nbnvV|lOaV40 zNOKV~5BR4P{LkMFoc-n)7BBqnn+ryg^rC6GiMD-w8}?Wp%RX<+#d!=_J|eI@RyjF2 zP`OaDuW$MHg3zy$Hl`?s66uN0?UA^qT(E2j3h9r_-YkVY;y=4rLm3>ruzyd&b%Mn2 zNgcbk1@CjwmiUTBt+Rd_s~m)hwyxQH&cY@u?K>T7oOZWcfAW=vC-<>BjK{sF#7SX7 zzH8^J8C^t+Iv6F&U}lu*^KRerJHPXs=bYzR>wW)wt(CP{Veb3h``UY7 zpK@LIQ}dT`(B_i>+-jVw2)~4hM7+luJ47$bj~G93YbN@=e(Aj3PlT(P^mfO!U=T5% z8(xooLb2@m_R3t2VI&A|A{rb7?m3!s`IZ&(JN`gbMefv;o=rO*I$80H2xc3f5o|z2H_{#Fc<=2M!w=t`B*NeYA_?&`FY7oHG zjweppc%-wuc@%gXPjwSLmxkpgTdd2>F1y?aKlyAS^s!fcoTEnO(7(p1jR}`N^VPYvsQ%Bu=e`8oTTDudqxPYtiM%X% z&cHWz;rVy2`JpM*-0wqJHIHG7=(MP-iKva2PsXkWOdatNN))o}uAtS_E1uJ!)xY^{*IC7NL-}_LX3IOzocR>*{m`T&cMog~df#nr zFn)7Jy4WpebIM?SFEcBC9lvevB7A}MJ8Yy#a4EuX?>^Nt1_H8!b50;77 zTAO8OriX&#Bj;|fLND=_UaY0IL{lAAZ2X@0qnM1<{Q2&Y;!7zKNk1tswk3O@Yii5F zcU1^tRolHaXj;{?~4Fa}Zo@|CLPn zYrTNJt(=}W&2K+4t;*7J8AAo}u{w)|Q~rR`$>$uU9C;eb3rxkUs17*WlkpKtGt?zp z7O6J9m~s=v`0yP0*BVTJ{z2n4zvxh)z)>?ZgHATC@)HSNU8DzQ)sOD??x`5|B4|d1 z2U*16HIAEU>~G5F)os6GgsUmyyLE?iwYUB|W12dpxcKIv(3$z}IUiNzzEIASHCs47 z^sWQy+Gm0tiMZ%n%ll=ZrHm@}q*anzNZ@iL zbPee7)y{7dYWIfS%{M*p*BCxO zYuJ<}ELWPj+EaJ;BLlfr21S4eeIkliB{G>B4}F<+Ce_llu$LeN>w~ zcIfFb)mihm5ozSm`uHH`-L3)8M#nBv&zB)wzYO=qfGw4~PL;3YqZAsx?NaOQY8hMZ zC99NumveY=yFH-rO2R-j&HwId?a`%yVw=1u=aW9FrOhO=$3?;eB1*_-Ul~up$?YxY z@RxVGK69Q7ez~^N?}Cr&sbJ0#-o-Hdt1na`w4JEnj!d{19CD#z2-5E(ieT_ zO=>x$nyy$Ec`cSqJs%}cky-4g8`%`!3latV(-5kk9tzkZ9_ z8!Fe$fV*UOts*SU{?4t0?%H7st}-i`2=3!GODLstrT>c)o7QOKmE0*zU9AF z2-ZdB0`<4R+LK3hCzk}?TVFRxje{_t>J1T|2HnzghV%3OJ5N)+O{u|$qSM^=UlG3DeQjY_Bv zrtTN|@O@%$A=xD55chK{{=N0?I+)GQBTsJiaBM_6V}_|7cY`;-zsuY6=0fE#B7(O2 z#F=#MLFNo=`OS#h9=WPR!FCTe;!33JRo^arz09VzR*?R5%KDF}Dn7X!h1SN5O6bU2 zzutBVR^;zfF*Zj0?7;mN;jSK%j4F!mM|KB#`m@Eb>p9j;G1fWUqch-PzGZ%z9%Vwu zb6}5RqDa)YzP_$iK}t5sGw90(r=aE7U$VUuNPH_}WOa%Z&&PVh$KoED8F-5)CCYQ& zufURCA-SiH?$G{8d(o%PLXxpOH>CZ?S?{@~ zcCUw|`L4ui7D~iil2^Ti-omfigX6vO;n_cX^pY#_l6DzN9=hS{i!klaUwm2GKx#lp z9HuHUvD{?VA+?Gzg*1&$chO$Y-@5|8?}@s<+{hynp!T%;=-=n%*Z=7l-WN|s==7AT z?Xs)?xi8F-gYr^y%JsES z{(t|&h1SH=pVJOnXc0p)+b)l+z4^LFm?g2Xr-JVRMg#M!*j^fTBB?eRx99T*0Vf?7 z_i{`_P`k7GqiE-1g)i?)thlHbD#=#wUUmj@Sj0rR)xnLpbVaJ|Ru$ISN7Q2+TZH8z zDHla2Dr{@RJD*oQn+kr?Kbzfa`DcnI%qDfd`i`qWe1io<#13N2g!IKmZLz4A!$74i{3nO#6ivDS2*T!)#;(B^4+|iTtTsWm^^)Kc?aO|Xm7Y)gST3E&2r_4{u9}` zx4cMB+e&U?q-}qP)%tC7#d`;})Vn0kU@t4F?v=fvZl$X{Z{?pB)jz&>d%)Ax@l`nA zSB&Y$H7mXPsF0;Rs~f#P3q-@d*pK;zzuMuZH4(0Wzr@T7TbD?m^1yti|xN5JGTcf>Rw2QQ+X? z`;NQ?SN0BFSvvVcyw%dbZw)0>gM~77E50wD8 zS^N{r7XM-4>y6~b?lSXcfxTdI#k;rlb`40>ot-KgZdk2hHF}x>-1O!k`QmVA?t9Zq z9^*#C5yO|;Ww>R-wB}!rznH!${@y<4?gzUEyPB)nkO%_K2m%MVN+Um9@Q6vn-yyZ% zb*b&_t4wMzD~QndzW005LGAh1^oLjL=iG_ayJ9@|STGM;xtI#_MvO~>Y5ZVMRm`_- zm@cmQD6<(K#r;P8RxGm(7s`(q=;ZwheSPxI9^rvjy)m+hcQQ2%tVtWs0w{bB%@6gc;>)N5L?W6zf zeFl!i_MYI!iRWuR+b6RSx8h?L&TRy<@lMG^LdU-@ehX%y^|ZWb=rmnd0go`xZ;G?g zOkWBUd+_%O=N}E$hiw}mPv|TYM+sR|J3oIr2-4PX znLjiiEAy(0w{3$MNEH41>gl;TzdVWZ&CA?RE=o@$E(jlsq_h={^Sf9lhl}ANQB81T z2clafFURjc?4`54xCp^4gvGCiPi4i{$jqbz+O?T+sRXCJ%|X3^92eH9eMdWJi$RON z7Qw^ej}6&Zwy*d4@Wu_g^)+xyJo%g=5=IC%Dgj!pRF0dQgxN0(0)fsK``pX_7M=!oy z`&l0``Q1gJyDV=1-9O=9 zdHxvNOXP@ZApO{2v#+1P&RwZ^Fc){v$@ZVHJM;Sg6B29iI$#zPpx%-4Bi|5e8>e-- zAWquqbE9SUozWV04lKA>laOCmqM(8CGAb{30BV9m-hFsu-)j^Yw6{e_yfH7;owG!Hz`u&m1Z$H*Xbx ztWP9-zx=PwVRKCSo}88O`iMa>BNy8HGi=Get$gr0KVI(%S522O(7oW?Sh*AXXV98$ zW%9$3u7k4(@SoqleY5_VSFHhB8`*C;*b^^R_>xWl6{h8T>1F$ee6eLrU=wCldoIgb z6VKZd?;OM9G;833UJN6?CElJ1@5wvh{*ca0DS_dF3lj3N_J#G;T?!wv(dO{nn%m7N z*}Mbz@V}mG)qvptq}fGSI{@j0m%?Sf?H={h1$-NJz2m+|$HN`AcWFRz|F}b02(R zPM6t_eLCs=ROjV!KEwyL0N-@;fNU3h#WFg^7=3JWwK=p_)%C11nzFYBO^;T@z5t_o zbIF+(nS0)hH5Pi4E?UwtQ18OfH*dw}Jp4fB4{qekLiUt9j-~MQ+y5oBwJu1uOo+B=+RRzY$ z4EVSwKcR6Umzc7J7EB@MP+^!r=5VnkQ>`3P zAG@)+T)9wK^U61ukntQnK{3tp2fz7XGpe6ZA!enjXok4buTFh`A^fM0)5gV_nVIW% zi)?w(oud`DO{4PG)EZ#JTpI0O>ax2Om^1tCw%z)3&CY zI`6H}i-2YkQe=IP9$e^c!V%V2UlYVli4aFMzfJdn+?4kXue~Q9w_%yL;I+)8pxYEQCgekO^!06v zy2Z&7UI|lX=)Tn&sd&~#jP8e|qWd(Qy7A5F;p1GY zK=3tSSmwLzBYlOoF}Hx^S)XEHaCu?qj!r9!+27wX%m%CE7*w9AUW8Lq&~_Z-{qQsq z{qy42Bjz>RpK-B9#Kr-hAm3bS-jeNbJ@CS<)^Dp%!f6t+vR*&F`tekItTldVU;B@k zxps{`ip&3Fh!Fh!2?-OIK|>?Ax#KA(72vxw?ViV?o_NCniYjDzEG>A@Z^q~_3|D42 zdU~Zq{|T4H4RlKCl^5&3ex%h(+i>Bf(8=tI<5x%1HLT0O2|#nV#!}FpqgkTZONJ~Z zK}7K5^|){;n;(uri-TEG+Wd;sg{o0lX7&?abj0G~srH`CdkZ~{c-khuX|!y)P0dF- ze!h9n0_EOwqRT#5qi zx|PoGBJ80JYu>NWZIKL6A#qIQ<`vGin(ieIN2auOcVC&_7MY&kE}W>b^XySRfBw8> zrCGAyY86|l(?p`6s`oB_MpisTWwRuMAE`q#8dT3BnGT#PetVx|5V(f}Z-g9T->C)u z7SPTA2~mB%GGYur9|A#~2b-o-{o<@e#FSS}mD5$kB-~HU*VlJ;eqPpUUaQ)Bc`q6D zrE-2LFJ8%4RzpMMsgPP*vM)*e>&@YMf0`1DPFfuOdeEsN3G}5Sk`Cr4y(#lvvnY4& zZCKun1|zVznDlHYKf$vkoBA8te^F9$uVp_%k&sow+MSI<{mj-or(zbMY*mmw@#Y^d z046dcJA0=LxmDLE#MG%ir^_qTPIfgyas5Rd8Ll2Fbj3s%dTN6D#vU1#OMeyx9VD>V zQ?^XOK8mqN)pmhBhmT5@jy$D&^ugVhoJa_MOVf{Tutx{Pf!CZ-EVIHL$2}}J7nB2} z)t7Yot^g*5jkdKWRia%9b`7YKDq{KL+RgJ+j1zn}XbZ&Ke;J%U;G2+D^UY;c7#4$s zfA3bDI0>IbIm2vjtxw{e(Gx*-iS&(r-IejGWBr$veSU+Ix%LhMZzwre$q_ADf0()1 zXGb$2^vk>cd>TN*D~5%IftC<0oMxIU75fL20C!Ri8v)ie~y(S9f z4XOZ;@$n}Q32szyOj)*JMVj)J-9b}=$8A$%KGb%Rcv(-;V+@Vs&DKwaL>MNI|>x>zySWR6?rIq3GO9 zN367J0@WpbV)~rsZ5^k>@WS*k?6@z!mD}68oL)Xy&L--l`az;NPBMjL?-=nnN`Em-|(sDs$gSq>A?^;TY zS6`i}(GxWpU>Z-Fi9R}N=AvF;QZ^Ie7C_5X^CI1-2=H2I6;WD-nTyDx3s6#QF_V6A zhvHy|8W5SY4+n4es$x9S`! zFdIX`r1AG3o{)?SzZJp%At8aYVNpBLg}gpJ)!rKlOetaE;Z=@p_?3y8;Y4jOFNF&i zE}U0%IH{&5EId@C>m|p&x8dQSyMzoG?}KZNPg)?C-a_2b z(Z4F3k)EWY@7+9EKzkfTSFg-h^elG@dQUiNA07O$78iB1Y=K0H-vHgARW@K|L-kiY zmj==Zb`b?hd+Ou^)P`Y-r^#w!V&UdB-f}D7FwejdF4wCT1J35C<6=g^D~7HXsJMg@ zTM{^xk^^2Gdp?+}(VwZUjM|u#NA_YmdLO!Or+6Rc<<)EoZZ{i7qrZOq4GdBem$(IX zF*Oo0lr;%H8v!T3JuEN*2SX8hgE)Gy2a1doq>Giuf~7cxI5JX!KqP23G~Ngyuap?l zm#0HQqoOiECBwM)+Lf*!bha<)`cOeMlPU(<5oQ3(dmu~p=KVNG4#B7o$KkQ^)AWHRe<%JQjA7^(!JO5Y1g50l-D{$B)gQUvd}|7qhI1O??qqu!J&9hYQWzHx0WI zWdByq4DVs5fb8Tp`Xyapy5b~o?m(WEk(#)7?*z@< z2M6J_0w&AAQW2Uwq}yW0S}IP$<_`1fxwnD5eSoH~ep+2URYr=Q1hV1c;$pJL;)7)y zwv~~RIFJW;Ed7#+-$JED5kaCwTuj#h+g$PU?&lUKajeImZ~IKQ$f&un{Na~9MZuI2 zNiR9Hd=>;jCr0juHoaaV{dZr!XK$icwDMaoGnf1+W zM0V$7iZ6ewI0^5pHhz>#RV{!t0JHe7_|zWaS7bCY4l{O4SlT}r&0{#H`Gjt`LEd?) z88p(i8RVIT87KBc*goUMs5xPsE z)wCYf#o-Dm?ZnIT=?A60XjZJ&s(3jhym?SV&C8y_&C+*C6G!yk32llav9$>-#s)MK zzRnI3d4Fk`VSPVy_%Sauive{G5G4>e-@&PNi3*N)b$awBlP-s%(3I9{yQ-B@lpnL) z9%)WVa}vD#elIt_^k!33$Ctcps>o5C^Wf5;7R!epoY$ax)T_`KI@*C}3#D64pv{jt zD}&-2kOfX`W8>NnW`9eDM1M zi2#1zy*0tM$Ob=ZS)a=?AT`-BR=|m5i07bD3SRghk=Gm%7}I_C9A+Qzn?z)mXBzi& z*u7kkyq9<+`a~PgKZLyO-R&bg^oP>iCrOL0=JpuGHapW`tnOS;J%d(at2-+-d`RAZ z^l~QX51w2#$0~wZN9caZ9+kRzIXR!=FS~Q9udF!OyWL;2xKeP3OdnCdn8i&=`CG~xPU z4Cay{?akZFXT8pPSAdt{Ps%2?ZMrGM&d<)z-mT2Ld(eoqwtzeb_CbUieLqMghqMEi z1RxVz2hTL;%s+EgXUk!^&d(H491@D_w!1){8o!D23QiK3ubW7TW%e*-jW_>SVeQRuJ_U@UZgq$UYd#-XQw*B)9#JT60 zxkT!jbX{h+rru-xX!(U!!MZaBBb|y|L2^3K6vwf0c9)f3GMy)iYxPmbW zN^Ug@i6N){b5)d%R{zK&Zp|6L=gOhz9(rgdlvTyu($Z3DPvZscjx@b=B0XdDMZvYj z8OI7J5J#OEUPqNR=d)jnw_(;O?yM<5!MWaFnzhiEaVFI$o6-;OD|3la)YO`<)?pd30Hx5n=Z2k>=Lcy(GMn1XNNo zvUGql?zQ}RYU>=*H`u!s9r5_2$z0w}68wg=Fir6B@&4`us0g$9;zIXc$L^WZHx6Uf zZx(J{5h%_Qs@Y=y-!dnME;Uw3rl^*Y(RN!_=zu{F2S+_a>?HR z4Ugiih}gAv1N~_aY2h1#RPY4_!(8#6*zR}03A#2Gijz7O}*2tFk0~mF6 zLR~ek%(*wX%>EUF`^;R{m)8z_siNv$jD4Vw0)}w(`CrGQSEpN`Bw|? zz@|}O0@{nzbcdz!N{7)D;e5XrVo3M4c322rM%j*PLcroNNg&1a(ED=G`d9omEJ5<< zz@WQVd#pNimwye5%4Ok4vyMRq35E=gX&P*SoV%sr$IOdFg+-auuL(kHhK=qcA%+HX zd6U@e?3slxERSsJg26D)_gF5}NA9Y5~TuqjMnjtp$ zZxfb&eH+q7VC*P+_UuuU-Oy8~x`+xWWcKG9>lfChA7kt_3$aTCvB5>52?nw%ICj8z zeHlEX=xvgJiTJf`yew14%uqQIG(#`j_1c%<=i&jivrL z6Cs`$;d+d@_hh4hbN!x%9qI!CWi%V6s2+pxVwQ2O#3{zM+}7rB8P0EqvA{`iCkLd2%1|S&^?X_JQT-@T|=`{K}%rE zL}5@QcxZm48?ugQ@NxKkUr12lT`!cC3^Eh5V1%|&7NFl?=*JwHy%=l!Scwzk1sip1 zwL2IT9d>o?-WcWWY2EOMd}`bO)!i1xA zN#x}ZN4xJidd^t!!gdk{Tjpptj|hX8GnYCRvBp+I$p}L~K1rXO3Fq?|vjoWp=pUi8 zPX8i@Fxd3&kmh>fvE0hwQE!fd(r@>sUMa)e3N(isFr0_gQ^&OKCh^x8-JwaGdMZ0gJ5#Yd`xH z`|^$DmTl(TY9`DSKSQ1CucX%2s(~CSLB?HpqQfa69D;@LD&+Mzq zW065&M0(R)oSfH+63Cx+a5Me2cjerClK6#RNlJFIXvUTRIP-Qg3}^~NWLY>8zJav+ zgO``1Xc3)M+BqBwB}=)U+HMs9)DT=9q8TN`J)bk*8=+{{`;jNJIDoMi&?{uh0>{c2 z8XCX$x_80K;<@PX)-tcw@>rIl`#kVHjM*;Wbk(QllbpEJ*FaJwr#m%iVF*7BXH}U= z7FJP3g>_Q3^z@E^sg|`(MLVh(5c<;e5vnRA#c}%En=Iw8x#%8pc@k7*zAGi%E)m=c z_~w`zbn*TmHU~|gllmj>W0Cu?r*RC_y9u`xnd zHR9E)SD>|_jg3uoeEe-VJb5eWD+l`2ex|vZ+4XlK#aS6Y44qNKS?2XR0RDD&aS1pN z(g7*X3EXj3Z9*U9y;s%dds4NpU*CmLS{8r6FaM;aBQ`LAwgRd^k(x}3ZqM_{WNPSU zj!}hB-a`~1;6I6~AFgLD4Wo3mw75bJ2_2Y+a8XP{%;6rt2e6SnbZ$lH**=6$&Js$l z9zP!ynf#u|5tIRQrXoGEm(~nG+M!gScTT}^9np^`_<(MUV*Tor=3;A~>6wgv)`w@X zO5E~~MV5;JK75OABnWMgRM(k7(Wv~Z^z3xg01W_wLYe(X3K60=7zU&p3!4{48L&QL zBZbDH=lMJ8fSwi512VM|+uHOp5|D`pz)sfssQduSO3v8W7=)_(*!!`O8gG=mX;MEi zM4c#IohKhD+2;(s(Tni7;_FuP`3Z%S#scB zJ`76sc6-2a$l99S1ymLT0{%7_3yTTRC%_62b`R#c--_0&BmL|3b8#V^!x0E26_SXY zTnxa=8Cr>kmDEKtGXz2gB*_l#xKQeF$HasmtgArM)=QRV`3Z3?tA;@4fKGPzIEB>V z-}F!@g?yE~Wov7z|KP!Ox2E7mMU~J{3?}zb4LSSw5KKcJ(bOGvdjod<*C5Omt~z+} z!W&dQ^+2F-mi(bq!Bsy)4C)iE5AOv=GRArxaszc_L2)oQX=GtVW59LoVqz@rm&(U86~y&(EWx_KssBl^hT)(Fcq`7r!n2XG(EqJz?o+|kFbwh4mt-@LXT-CP_T2?Y&>e0J6MSfH zqd)0>-a`ohcshI+QU$7OqGO1vUcaw`jgSv8;!)~bN+6}wAr%Ydt6X!@JRiizjiLv9m+?3HGcPo6xfT&-por)=o6fu6k0w#b5+ zo%99{KgG>|d8*!T?Q!rN_XFz)=c`~ons*{MLC#yUf`{*VjhAC+M8sFX%Ydmdwn=i? z?3edjHLLc<-vzJ7NVsC_Bt2nA+r^Y~J<+*M5mh04!;vVDC?le)^`t`} zD0V24W$Jc?Q|2F%>Y_T!JXBJJ+J_~mh{5JCA6AFqxb8SmU>XOGyuI9~PO3ro{OX1n zG8z%aq`x@`>z{_Buos+}oIr@01|r+ezsF3vfn+^7eTrvWIjx_if-eb=(pOD zZWe8p3mT2UDT798R5A{5=fv;vaX$Vl_Fyy>oJTrlx3lhNIi<*2gAV$UfG`k;Q7=(^ zeQU2g-qmm0wR`FfFJ`@gu}$b3$oX>Fp{;k{A?fK9?_Xtp-U+fR2Bq|m!Q8$CY_Hr* zdlqP|d=@~cOAvSi;JUwSS8#DnE?67Y{||&ggF4d^s~#9GRsGfo_97HS*pAp(?Qu!Y zhFQN_aftsm*v8|j)0#u#rXXE%m@H0Mgy^2TB=bQVycopw=d2~OXu63{hR%9a<-oUd zh-sPrLGNn`)aQcCJKrUXTb7SmSIYh%hgTZTM22%8V^LZvhEn;@|DL9$*=IOh) zNScO-b;Pl_xMj30_2$M(U(lS`!zmKwl^tj>dlqo)psQrh#~l3|<%K5=S<9upAkK1` zeT#@1T;3=zo`O=T4-;kPk{4_1RgU0^hJ=1RI;k_7qSU})MB|0vx~LXENc26B@B&o+ki#JhLzg61XXK`)+X-ABKFb26`S zp)P7Wz@EN&d*U3~|K;KI>YSA|n5Z8^N8T54Uv3A-8|a7dKr-=P@dfSImE8U_h=04q zb9BcNt@6)}YD_`~#Eaja2H<=wELN=Q3&VQo=^33wcd2Jq+Q3QZ8rDt=O4Rtj_f(j? z*j#C+SGni6({XA0e4mhA?A@9H-S$D3SL%s7_14b^`mQw-fCm&ro7a?!8-Hxw>aCCk z9BclFh;}7aV=U4U_9NF&%)a<-w7xv_HLQ|+P){gpL3409Toj#|vqCCK-&8eR@Xo?j zROdWnErxkf3{#r3=A$)sPq+Prz02kKzze(nHZ^ei{uf)q&eaj5c?plTk?=Qmc0>6w zqGpV<0C*R)>)fKJkFZ2VGW^fJ$n|3x&TZvbzzis7t5XFw#bIlchVw@vzMbeM`B}$9 zN^E6(i19cC7Vs>-F8Jm-PZIc63 z#>yQzrha|1`XsRu1>?I}5}<=K?bnbEIGzCMJJG&n=ruh9i#6P4?zlfNDPa@}L8PCu z9WJ#OX^IZqZ`eoGAki)O<}rY%q2Mc;0Lv~?!aRkk8joX)?AI#PTU^j}M9Kn6`lm z0w1S=5^LhpU>>ICSgYLg+1sO~Re{#`PLw#bKUpQy@Ydxea=7oa2 zEa%2PAalM3{s)9neJ(@gze4HbT_qMyBS4h^)>%IIBqh<_$7)<@haWuN`KcJlq(bni z06g4~fuG3}fU4@u?^AMyypBTa(4wQGeSEu44xx;ja*uaXhMRbf9doC1hnO=Y?Xjuc z_QM}X>i^9~keDK-;`wB&iw`(-+FCvBcYqzpW*gx(Ob$=F=j(8Y5(+7bm1L?gvYsdg z?4(5ZQhFUL4jpiU5)*8FZ0TbsgVV-AHW%PUf@S%uU@9`)nUPzRzDqUa(Fi0^NJAHH zMa?wvWEMTUCOQoB7PQOBd52imE`YnJGYv`lS%_pJrS^{#C-y>s8q}y}$S^Qi@D{=M zCqP`>TGxO&rUVom`H^yemCdLv@`G6CZR*}7BFFa@Or#Ecg{tex9J&N+StWmlK=c?X z$=0HJi*zim3216pf!9EWD~RA+*Uqs$fyuMN?E2qe$LRO5^obhu7fsY8m*0vEhy?+y zeQoXS)2n`KxKByW=|)kh$tp-(ss%SYg^CjB4BE!_{u+kyI2|ht5a{n$j!w~z>q#-Leagwkpl$)0%@e5RC&#fp zfV1`W+IZ%*Ie!Tn7Ew{>F*5q#D?ed9(2MK?5OGr;YE6XGFw5Qhh(}P?|LmK-QehfnaH#sb6?ou-W`enUG z!VW(ObB8c&`CD2ZD4)9Yj~AeY&4Icm%=Fkoikm8dT;$)RbB!W+%2wXEPt&Fr#Zq*6 z*!eUR9f(AzH-J$tNE(sVj&XIa1#Cde0%@m7TmgK7swr0gArYc8x^SNP-{SQS2j0Q} zHVA^qsfhKkTK5I)NP_RK?Y(OgHF5YcIgoyX$-Mo7Dh$fqAA$^*0feSJz-k5L!Y9Z^ zAA86Ypc?S0dYwYm5oI%OMLzwFYkGyvUR*(iAwgBAQJ*qGlSKdh(H!pC+FOBLZM>|# zqwIi~2(?Q*rRMP#BryeW5gg1mU}+;tpM%O{$w-uM!~hP8y^gBvDLd*d+qz~1@+jcH z$ZwAvIYN~E2jLpVmVWoC8`M3uPV&Y=D&CDkIBjJFu2D=zqJR{mSaxagv~F& z%@2B4HKhfGfQ0AZ zq*OR$&W%w|hsnt~;`k(C70kXc=P&aiFVy z`6OZbYEgxjH)Cr!N$1gAAd9LjtUXJGq!fpvp3~VHd0^_uJC7fyA6$?#EDJzs^3O}q@#Q2PO5c|;#B>i<2P`-N9p)xu10J$kicABWh%I@&PzG=v(Qo zxK==B-K24>e6zwlDw2+1=b-AVrYX2D^onhB8~dsW@#qU{Kb_6)>(bTUGX>~uanm;_ z#Q7O#ps8){DER^`7h2x$caXreD7CH~^U-MOCE&q3#6R-YZx^!o8XX5H6+tUBT}WO^;^%Il}DI9Y%VBFhzCmanB0*I|etmGIDF#9Lb%dECJ97^pMVK)H!0_uUt_GQ z?lh9=oJ%(@vEe%DGJ_LIzC8dD6+++cj&kuB%?g9QcEvG zBTaUbej6@EqkWWcCTc`Xr$bK%$q$TIs?5HTbNjAn)S_{;?Z% z>oX^Ty-GkmQ6;v3HPPJcjZL$@$GT$6c24ukJ^W~yam9<{F_ZprRV0R3H9HWlcYMAa zRM@>ZN%I}MJRS400kkhb*cf$7Yb2o@WvvXyAO#eD#r1));2F&!Ord@ z>@|!=^?=WcJ8B8Qmi?rPB{Far7y_7CuL73LS((35HLd>hJIc71#yBvaK7|u}*JTJ3 z-oViM=V1+&Iq-r3d&4rA#qWdAQJmQ5oaWt1a-ctJF&W)hzaz9awTDJ!^K;pM=e+-a zS807&8>tYnC}%5eTSza8-Z8w3BLC-luRzoW_J`)_ZX@c|Z;q#->-*Jsw0Vl=#~9|5 z?qY@kRkL?@17IkUZ#pcw<7gSB>$3w|I~DE$_xKlq+MF@ zs4Dv2XdHvF=dV9?|3_Bd(U$+`N^bdasL+e|BEdWr!bbdAxdE!>{@3yz7UZ{I*9XEZ zu#lB@@?;e^8@1TMlUE@+Ak{N2z8HZ=I$Qs;iZU)aS7_9m+J#ibB%UhU(zpxyBT+mE zXMt_{yoCJmiZREDFAwro2!qF+=mrL!s)*!gaGfoB)F&5$>uCLisw}yG#MU$>DEX5V z!0iAJut2W2JnavjkNjJ^FbN#A2m!V}6 z4rP8od9R&SP$<``4l{@6)pSS)B!@j@8GfRy8B~&Q*7yTNX$^e7!0B(4fT7CU=O-E3 z4L)XuE$K47gZZ6+GBfn$vzO!8QJi|&jpo7t9mC))L+ids*!hkM0cf8jHs|)hnt?k% zB#UNrBGV4g5VWn7CFXw-k7JSG^3g6hOizvw^uy;d#PLvF&(8wLFzK9enTcXgF$9`a zI7M1g*qhr#KR$>w3%!3M#m~w9M3%(Uu^|aH#ibEhU+}VW96*D7@^0rHN6i|5eH;Ko z8E-mcYf1s+?6qn(T+74xj|ao%{R@6%cJgskcPE@ zl#UMu@7d(oD~-cN8JC`p&C#FC0vYd6cgNR$^*|j#l_Glo1&5K3%o-vNhx3`w5iur> z+#M>kXo)#@EBQyo)6me+ozDiv3)Y}Uf4(>6#_~`>5G9vri1#+BPr2Q^7N(ODf5m-o zQomxc>x7Ag4|C^${S2!Y{Bw4%2_jU1i8CA(!`!n#GCht2?B(POoac$mo&{1jYi@a> z=2xjJhi$5_@aIXGJQLq)bUu4#?7wQa81L44e-*}BDZ&86QuB8TY28PyR`s!3=O=MV z_Yt?!C{+3qIGbBR9`H#8Ip!qzhn4`POKu0Hb2aTBDV{OF=^7#i$=@>M0ved~$8{5F z&Pa8z-O7>2+bNF!tu>tn04v;p)ZeqCD?Y|2%Hpd21LwY%XewD|MS^kk+RT-$*t@4w zDk6LbqC|z|!UO+{fNms=jf^2L%lZqydGm&atfNInrT4Iun28e}cU4{;@WF%zzU9_6 zxT?2^XX}0SecJcL?ll90L(6A=Us}4fwd-y6Mft;acRyq{ynJ(CJaCSU^5O99U5g_L zSjfFk-O&?##hhn-p^zy5BATNdi4kD?9qwf_v@Lq+5@Bv|maquKZCvO#E1&I@c5(8> z6#KngmH{tRK+0Z>dN)D5frsjBV_h8Df3+b|RlzxHb)D&ZNKyQ-8U{3(850x!YJb_l zPyzNwovoBQ*~P^21h7nxdtvTd1Z*Ccl~w4gGWAyRUhZkW<4o&NQ(d(KH2UqVJXEGL znwjk9BoK@>CY=7sEWT&=el!p?Q|_M*6Z5g{s5wr&!C4kC#rTwOKP)+}1YGqmwgGd! zB~9;1d)Xp7_ml=o394V&JD+U(DyR~TM8eV-2zBXb(DSu=b43I6s|u)Hh35msZ~ISTvrxQ25x}4ahbxHW%-Ki(R^SaVpUsWd&L^ z2m1ThP$16(4ENPA{WqpH+j@Jmn&ymL7K)}feouCzynf@|Wtr2FQ7LB-b#K61CuRsf zm780tzsCzyb)mQ`BSDFOt`nX?G$5GAqI!c%d3Db(7Gx;UJlG@}_1$svxXOl23f7(Caef2kigU0+e2EDP< zAX~Yr%A=n89A<)5uQM)tE}vj*9B?7%pga@l&s6?o=XR&YtDflpVsnNhZ{OC{Ra(Ha z#Q<-I@egz#OTO1T>Hqs@fvT;%b58-H=Iix)(9-R~(DZ$)n$1)i84NBO$t8@fx~Hq+qly?gABwy~bc zln^N`HrUQYh=n<*gwXnw?+#S;5+-c%Fd4DHqtl7}ANILIJEA?4FMYBnIfHc8c{d8km#w3Rjw6--)?+>C(;z zjkwS6E`8vMv4UTxl*Pd$2gqZTfH4INpT}yw&~0(6TTwR69Or-ok5l}iwaz@OXcM(a z(U<&1XbN?SbOuN;v&Gof)hS8AVYD>#`>X3f5Vjkc5m#`YJo<=!(TibKflwhdhCtjH zp2Jl2ZJ})8uF9*F71WVejQ-{HK@myOB6l2oi>lq?vbitMnpw{m#V+= zH>Sbuj04u1Jk?r7J|$Qih%W%(0mq7)rg=$OA9;Ty$2VOKv z;>SyDd4SU$7^}(kb#}js&VU|+82Lng2S##no^xXC!j86VV$$*XjADruCbGc+157pF zXD-|WWiNc-T{5W*8jmz6rNQ9G3J{g}v2UgUMNIH6gQvu1&UZp`pQ;uY+0;~>%&uOd zZ8aJ8oHcWfay1cu2lILU-yORn53rc5Fwl1)N>;8I=h!4S){z& z6{;ctD8|P{KTyxA zy|K=8ecpVm1u23Q0THDrNJo0_9qFCWLx<2qLh?Srwb$NfpS91-_q~6- zGbb}^Cay5Q^7Q+5AOTr&vA9H1&wQxfDOB-&XOmGO?5?2*= zT?#PAIZ_lXh!-q>_7%nj8#aMw&OZ75I}E#4Od>3qY8Zfa&L@nO&JT`}Mb2G&^~&wRTrNOf zdJ8S+0oj7qjbDSjWwba<9irD&>55dfwx$8+N1Do6mo%vZ+J-mRhWADQAm0%y5{y+0 znd;YIrn`7ifv9W1ZdN9$>Arfb^F-qb$%Y3@ht#5HZjW8E*EbIW*rosvuz7u=oOI(T zfOXXK>L5O2v`Rx{1pW>0nvt=>xPHJEc7*k%<~Qi(_bgc`TPeXrSLc~5^@3(zcm;p< zL67h;tuTz*Cv&_zx5rQ#do+du=wEcY+CMTZ-9X&TJ%+_ zzhsrLyg(az$kookPXyXPfsxJL_MC&|*X^x`0o?t2Bks#f4m9OXASE4l*HZa(_3B9d zid{u*be0Zz@bhKg?QRsLTUs6xDtw1l5p~v1O(n4b`-ELxCJO@ zlWr@#oGLrAi4)oI8)^$f+ubq`w}s*(M{7JK>;S}b0086C4IfI}i_=}%2*oh>1g_># z)7`i8tCN-Sg{4b7*uDtQ&xzL1Z)jRqSlzR}_G;G#FX_Y0dRT=4se(cy546-by-9{% zi|5;;qxg^S>-q@r_mu2#f57|(#;|d?E-7ikxRb7Hea&f2sqt5{cR&g&c5B@eo)x%Y zf*;9?hp71jRqB0+?CU&ZHdOn{&~|kq!FWq*V-sbSjh+wmgpGkt00zRbX|1#ne2YbT zQd8TrOc*A)iFOrBT*C7Sh-w>VK$xq)O#?qbD?-ay(`TyspFfY8&)Mq6FU^x}%U9;CI$bWuDKEL4 zq@$Ct1tn$f0CH6TR=Znzn|5^~{yT|p!tL|)^beGk=f1jclNF>eERLoMrGFZ|G55f~ z#qPs2w(42R@+&F7pdc2p6+9No!1JOZL0jl1!lgfy-Nhd3fMa!_lcGG!@_DUsjVyBh zn(F}2*=}$pU6zuHuSR->k;QXx$obR1&Cg_x-5`|a@B3KzEa8he?kwtDXxnxsUKxCp zcvHrhLJwJ5_^O|jB@!!C5kD-C&;5t5YRd6d__simdxG_nFDM==02lWdNe_F*ReF`00JInLrdoZ6RG1?kA zm}d;BAmD4P$6ykowF_Z;8*NJ)VL?F&s~7H_``!px3=JLH1!R$=#C0T+OO-}NmNR*j z(S5P@u}Z>@DC5s^|CN(x8)nfb7{2#|BB(@LX&_Xj?I2s-PWA8~td6LrNt)1!&8GH(*+;6nPgYhpzsoq4`>Bg$iZRo8PFx@)9%3f1JyYMHSSmhc><@i z!Hm2n=q~}|TSM{OQPkI;V$b0Z#s+9B5=zuJCV>p0et*OkA1Ed3vSL02S5_7Tp3ApZ z24P(tOMS$X=fT!x+@TsVf+U(5lyyTge?ejjt(sAp&!3C49W1z7X7KYywMKFZ+O4y2 zT)pbPy*s2;@zOA0?Cfe&PTI&j_B#YPV&-e9(=Zs>KaQ+Lijf|f{N1+j;de=T@Fq>D zqbdQfLYN|FfJMRk(Vd}sSeI?Ce*D_p0p0Qe_1+Q61a>zsbHfB5@CSnGXur8@o>fW^ z@c9RG^y)tjWV$W4a=i%&*_j9D%o`vAGjyG7-JXS-On*^e5x4iYtHQhvQH_V0o(_Hs zG=SYqAaM#8$&9h^0HW-@N^sI6DVaW8aWh)IH0fn7NG+>OoEl(Sb^)H*YNpi?-!186`bME|t3&Ul|+WBVhhB;zF*;S1Ta$1Le1xV;HlubgGGnELfA^;8dx3g&*X*$JQ^k zof(2EcCX8nEb$}0hi}~bws!@GrY)|Tz=6$rbr8rk6v0l6!@+>go#GT4T_NK@W(r64 z2k0Q*{D~}p>M|xX{>8AP0<*Ojj*d=Cu-yS3-9jqwDld-$%K=Nlf_$au_EP^ z-MDe%G6a&eBxLq0E3??E{BXeZzu+isaR_nxTx1m>Gxh;+i8$1O?ERjh+!cUQ6k0nl z0DwMkLbgvhF7i`X(&652)FtCw zvhlpcyod>htZlb7su58cKj-h}Oqpa8W8-Old`2&2VN>RMFb#KFjXjOWJD z_o(P-vfTCR71(jFYYyQ~HLV}$@M#fzjt+)T6<0-~Y4`S<-vG^Yu7mMO(6Svrcm}ie z6hW8(!%Y7`0C^fI&_A=2bjxhh08pg$eOM)_+-6rq5*#1*b7j!s$XR6D#uZdk!&uKN zBdtJkp{fFi$N)+r<+^UNz0j!@tuR>S1?&dM0h%TzJG}#S?l|sxhf-bZj^PH-@lNZd zHV0doIk#!ZbCK}!+2EiA=~vh9@loo#J>KqCB4FlFBSA7Ba%sHZ^WLjN5) z5(sD%U=@zr8H|rYDzCU`hG+(4K=t-;NH!$4w^xvZqq1+p7W+Tp69YYasecDPklR0H zH?T7^`?=Tr=Gr(KGI+>0)N{majn`~G@P7dT?zOYCpb(}3G9#c||nC;xS)0n+}yp#Jn2IaNnG6v1jKME&q5$@uIOir%E ze~IF+VDk$|hyMaw!XqQe?*L>8%(n06vY>!V6&%Bp3=;4axEZ&-4M}2m*Z_AaUZ@)q)4gDkflM0BWAG zH7q(k>0rHlgILUu2<+l8+h7$TwI7F67a7oMWb#diBEB7(nwnl@yXV|CZT|RxT9lA! z1@p~H(!-XPmPUQ}5RveHM(VMOQqcZlfhvw284T~dN6^d z?S+W=7)7;gR~E9hgK0`p7+N79b9wKdP|#k*KcJvU1lQZQr@gp3n(H1A#F>&n=H0my zX`mK`#w=&%lhxA3^HQG{jXS}m$hNfDkyH$nkEnP$P}ym~PLc`|^~DzBP`?OvtF zE)a6eH34D%4!YS}>^y!cy}fjQy&T8^uH3x&CfRko>s2)*mr<{OaNh~yxxYSdFe!)h zdj~RPLS~&ac)F|NyZ!e;DM_Ldq)QfOO>!D+9UegbJ0g) zmZ?sG@#l3($&X;bCk#}S@s0h0sP@6J1$NGd^%{SiWY~6}|2b4*b_an( zfk9AYJ<-;J-Qc=@Jr10o5)I`853>uM+U6bUSy|USF_2jP3ta!Y7)PN;s*EX72~KCr zT{p7NohuvztMEe!aBNElg*h$ibT0NP%oW#nyOyOM58#=w2QPs!I_$}l_X4_nfE^?auF%R_{~FWwL-!{j?G5G6MTXtvxiK{` z1T`Ps`P%$V&}B`Em0+;C90rHc7&l9I#SG=35K@HZIoHYQ?jhaTp}UJ1AQKrTPW-Rn z_ekUBe2+#)P>e=(bo|xf(NasX>hxf-#?z+_hi6ve07`M5{=8N(+$#W2dI0hqfbSRx z>z2A!int0=Qy&!hl{9jV$ z2H%K4O8?o@9Q{wSx-95puRXDPiPxOyHElmIE`LZCNi43I68&q9hWHNPGZW-X_50iZ z!mmpA41C?Lmrpl|i}7-tk&bRWJb#c|lhTk+;&!W6HDj!X>}(vvSQ}5;eEh1=bXX6c zZH|sRY+;&()>E26#z;^1{5(hNnbhb;xjuvE$$>c!E7k&Ez~54TQVTIKt7@&|p!dFS z>!(ORPSeRp{@I5)JIrZ2P{~h;XD>eY+b^SMdBqjP?f?a?@}8VaK7Z0)Q%{UiC0Nl> z=H3hYhcaU1E0Tz;{Wjxf8ll$`rF=D4*A=d3`c+H`#e&bw{g% zdk4-ZrRyb^-0FKbvV6G|Gh!T}KXub?uxE>!w?uNVv6mzAJhbzwZRU!ZXI$eP3vC)j zdg+>!%r-GZWe7_QE{ndY-DifWXYc@7Yt6Er=uZO8wB+RZ+*kj z6h>RI7=|0aSQQIPctv=+P-#6Y?Amz4*Jt0qQogXZICa43{kjQ8paw@qt7oKpkMe{l z$>4?hch0(nA;RCT=@6klP)0O%$>p{3J#q{w+WUO_7n-0w>T;SaRFkpxoc2kk>kI|d z87O!1qIR*$o}@}nn1-2ydttCDDQU0!zC0%HPh|M6?N6XC9NJror#zv?vu+5NQ=!8=NO!hKr3b|+vO%f&{4S$z3gdUMmR zbSM3m%U({o2w)!Vo{;=~v6Q`@HJT5@Yk5lcOxM`pO{6tUbdRj%(vZ9-Mdr1ltDB9{ zUUB>Gr)qIpW&-IoDW)cy;N*Y&ae4Jz`we?Lk4ua;O~x!otLd*_6hlp=TH4l6bhick zjrH-00i3K~!eF7I6%e7g)jj>%@MY1(OKV1oA~FSkf}oaM6J3u^QM)aL2gc8ghp2AK z?i+ZW>frlU6}icl$H(`^F=Fnu8J+!OlM@A}Wng+ntlL|Nn|^j}QwcXV%*huo+1MK= zQW>2QfB6FZC?KTd^}7@4xa!>PtMw*oV1(`um9#39BhHHG+ zip^s4p3#Y%>!qclU97RZzgzwT;5}HTZam~=lYG=v_Wst9aK`cNl(JI(dE@hRv6r8$ zCn)(DI_rT-8QrG0(c!J|?FP((Z2zGG^Cn815v9oFUCVf#LFlw}l%(#FSmaf={qR;( z0Z{$m-D?6*MJIEh(QkwLE?UP_R|jWv7y<7U?y2&Cm7wKU#6MNr-o2 zl#ZR9PEHV1bCOTeLUqgD<_Q+MdgfEt?q-XR;CtPZ6UOR4))q#pxPw(k@3ZQhn=0U-r@rme{TBT5lhzpj zaU(cZfT{H&BVueS`QR-V(0v&g9qMHxYBmM~1lw!YGO8Y8)}rlVXlsXZstoB!$91|X z+{h`jjf2@q9!>4z!zp$Xp)J2lWI8?p%UN{Wjuwu)S>p=trUNDC$wyv3nj$XiW-Pc(|9UitTMN$`Jl z-PeCUjhT{Y(iP>3r0zI;rrIvHM89HWazr(ArlrUL?h}|q3mv!jlwnLS*KJ_0QkYI? zp~9o`+*W=?WU`A!$4Xz=`0}ZJD)W4#Lcp`?jNdbD&gAoD->1uaN2V8Lb9hCyb#$+s z@_x>%BLH$WHFJNM7sFE-I&R&Ld-e!3KyJq(jFuG?D6wnfi9R|0jTvj)4!4?#EluOf7enk`zl?xoyZxx^!v}qj?*^B z?dEV#?!=#y_20gJzX<5!wc~{&KCQT;>}hFX%clm8!+d4mc!L=_%x7bux3}%ixBc7*-=0|+6cn=&1*EH;dAt8gnR5qdGLVzwUQn%Vg|fzp7)!2z0^cBO zAiX;m2}-(?*#p)Utjh8_9i5`u<{u)K4bdU*gq2ixQzc1elu4kFm0Q7om&TiuH4DfTB@uN+Dro=Dy7k+Jz zPK`mg7!zhdbiXst{JJ)PQMcxu-^soLSN1pzh2fZ>`|Yinof_JAg~ z4e*)bfeTe++=uyx)SQ39&h0r>ckefG0-B*3s7)FtzO;6F{=bp~y8#q_xK+2t&UKfU zkVG4DO+@5_t&C?+mZJJcF-WALeAwLBchD+!SiN$W>84u0rJi!yYFvJL-66mmqyV`H z*syxuA8*#H4+O?JLd2_c^KAfk2-?GJH!2ah8tS+}sFEpI4^m5 zo6%l^giqsLU~J9^{HKXVxxYPBZt(DAJTm(i+El!)UfJ^&TGPLyoUarAF=-b|_#0YQlBhY~K5mvhp{MXB`V_i9 zM>7eC(+_^0tCArUH}6*!7KQ{Vp#sT4kj^!{6&V=`(mE!+I(AR+H%N=I!?TGZ60&y! zGVe;W^%di%yCCUfkbkFR9d9xsbr@~G7Q1`4wtN7RxR#!Bptf`V{0zDBh|b^QXB>mw4-cV=4s*0?GaiNKP*ytJ$4rmcvC|y95$H`yJKeRD@mu zwlhAD-Wmf!OMpHLzHI4S9nQOYdo1rG3p>?h_3y`>`BXjvXyEUd#nM3XEd{aj*dQX@ zH>;Ysk0{+xL{whiVmANC*)FTsWdpKCK+Ocgd8S`EP=ma1;zIiU=1meJ;^pT~$t|r# zJH_uu`8Y>k2IS349j5Luc;OrZa0Xw#d;y$LZVwGIAYhJOfBLQ-PTSA&4%)!}2P)(97`>0rO(Zi(0}d zAK8)&0I^1`s2XG_ca{f&BfhfDRbknuk|`BIqOoy|ceQ^GM?7{!M8*(T)ZRsbuY`KK$u)2!|bhb(4}KCL@;I+HdR)_6M2 z>-Gnt(E*QMoBx|gnESti37h`{CJ@sbfE7|7%r70*K5umNnm=}D&t!Qpb7iP(ziJj{ad{WO&; zBG&_;d#lsCVv|HZ-GJ0RexIPB^+oD~qE7BLGw}7Q!UDy5UPv zCF`$!zfl!OLd)e$rOl@5gz+0nvF-JN4aop1G-shGQb+@{=aMKiM#cVY>L#^&b8_;}{n z)xJ#gu$pTm3!Tt&v2z8p*o{#V3U7+oK*BEKLwZ~wbU2E)n;-E3v8T?@7|XuwWtv1j zGOwvzEEM!KVmerJAS7E)(rNkoySr=+*GfLJ9bZ0TR0;f^V31w5rHntCqX+M{ytuK}zN{$8q~At(^lw!ImmG&Sr6(5&hty z9zJ%z{Gh6z19c+kN@1vQNojUG)=4@x+Vu#W*wwF>xli3;lHk1e@6iIwBuiJAV9B-7 z#^O{?J>!Xcq)80%DU5DnxuK+p?<;BUC+=O!?Iw@hQpIa7*nGA)G5&l=_5GNEUS8{* z{6(p>Y*Mj)hrV0ApJ{@BHr`IJ@k80;S02z+B-!-}j4J$S=NR&T6R5Hme_HAIxm(^m zlsh5u)Yvu=Rc#RfPE>1{j(5u5&(i!?U7~O5^XL1>=aV_&Uqa6 z#3cV)i|2>eiPvJ}-aN~zJ{vo!bM4C+SS=MnM_&3;iQb+~@NviCK3=%@Emcs4fzT%5 zXaVsitQ|0bVL4NAgHe@Zs;?forO8-6KQ>QDI#u4r1K!u6Y;3B!ankOHbc@GN&_MT7 z>!;gn9tWmxT4SzHdN*x)@SXk0kjbJO8orhnBuKM-X)^O1$$Q<&ef+?h&iOx^VDWJ2 zMH~655O)>XQStB>)u`GfTfZDX+%5SrYJQW=&+%lDHY2=9K6V4=Hwt4n{HVWv-xLZ? zGtJ8wp6hntdp|Krc{Q!<%*QhBQkE-03lS&Lfx)O)28uK}|N7-p25X+YOXpCWquw5T ze)Tl$%rK4Z?PnvWRWSFp-xyqiJ%Bu(Y6BGf!Gkw2VLN?; z=;K}wIu2u>jsTbQm!1ygH736oX^95_LHPZZ?%x8w|L}jm=l?~6leMkWgA=KqZqsB$ zx(;sV74V%kh@gK_GKToFIP#U!sn3j(bEqUTl|XETP%h9KzJC$hh%7ohI@e&D!>sG$ z#aP(5e>o2DeH$Ad*f~8ee^_EWbw`>_-5>(`E)qkxzBlBOeAxYfKpe#V_YdDFMqK8z z9E+xM?OfwHzRqzhID0FB7?u22t{JW@p(D(`h;YwH`?*1{16>9oVTX7qQ?g0nrkhKN zij8dn8AtR;+Xo(KnZM^oReVs;Rjw0%2C@gT`%4q?BSPjR#B|%=2X7;qa6sk41EHRZ z*M=SgZ)`Wcaq~HP`q_4(DoL`?t{Zr&aO-*?0Y$9H#5x67l#>88_U7Vls2e-U1dH3IGoIuh3kuBFF3XffGyZ>Pkvespwg4z%FAR%rdndwFbPO zrS^XdV!f8;R}Uexnf#?`2t*oUlN3;%%-iOQE&9JjK&g|#6w5yPZ*;CJS2wDWfaruz zKHT^pG-UX{rDmN-=%g)QE7m~}Pso->wN*!e@jdCb_G~Z zfn7QY0cLRR`}gm!Rz`vW{Lov0iKAhb?AK%?vTu4X|0LBbb55_nsJYn{i*FJWsBI)UBF_Bw~4NC}uF- zmESDAleFgVrCKi>j(FOQ{*^#3=A?@l@D$Im#HtNJo)SJJNyq=iQrZ3t&?F$OKlemKBTohJ zoZjZdG4(epwf4-=J$cOElTe6UZNS(&d8RZDkh4zozp_QYzs{qd?++xA=&j({k!k{okXaWE zj|)iU(@xt|(?7F8g6?}p6pwMK8SKR7b*QRSPK`Lsk|_`1K))W@4?+H^6!HO2d*J<~ zRw5C3b-gs6D4_(ri^H9D)k8&g3WifRhYydp4;Mv**VQd%U@Xm^1TRYpj;gv z6Bi!t|E&n8{26!kXtLg4Djc>BDXr;R_hj)U0=>3s4g!z^0LKStC73s5A<2L#L8ko7 z3!ZReg+0XLBM{71u3=0MO@0>|GaeW1~ zP!wLhx&U+~oHh$IK-h~W>Pog0fllHff2BV^pb5+~HWMRUoTX>hKiLJ^dDb_FXcnPY z5SX_YRj>xPh`{ekG4i(I%r&UKbD^DiZCya2jpr30-T>T%)Vq@!>S4238|3z6)J+%~ z>8#?medeQ>E4&*^EGD%YCv-4Vy`oiUAPb5*m>tq=j0#g8c|DL|3E~kHKK1Mb?@0Vbkt|@S=}nwv`_M72qrngCl&{hVUjApB%!r zEe>jx7y_+{3T#`ocflj)rTwjboSN!`=_LfDwLVN*83>T#MeWRpS`eI5H-^j*7>Xw! zH&Tk|Hh%Ki|BnD(;^*(0M-L1;+_F3KHP6eL987-TQnZzU0y?d6Fe;y(4-D{u& z(6`dXLdkShgZIZbYk8m!N)Z3~x%y{B3I6%N=PL^(BE$uqIHz!}2V+B_(MOx#5 zA6s@1SaYIk`#y|!oy+hdAuqW-I<-_G*yde5=-kKEWw3Q+dSZ&o!y zhqlsiR5PGn54e7DPN~%o3w})5MiL}S1N}6yg>5PoH_-;Qov+kVsB_-CEjq+}CpQy3 zKgnxT2eXvgzS7A<*CE$F8HNQ0mf8DniQ~A%A(fI_`B98Qxtpv&-TpF*laUHzjNvcY zrpuMTz6|?vP(NpgFMKEI_`aguI3n845v1Gzy0OqH&xtwPt)5s&oBN}s!PDa`^25i3 zg{{3XYH|KigU1mM;wU6IfAGoX+n4XHElZh_S_E)%PG%~frbV>d6~hh)d+XA&8OylY z19P+U?{iH^&o@voUg*Cym^E6a`S?z)@92x-vi>5zqk+K}D^;JfUSxeK;(L}cnEKZD z^X2vOFC|73=YD)pJrzztHe$BE5jLl0tF|us@cn~>Drk=Tgy$zfMB5mTyO$IxMQ+U& zys5Bab86s}7HeQ~R?-obkZi{;qO!{L@fFgF$#8=(+_)R!cRVLH@g_Iok3WL#A3wl; zStDeezs6D=*=?5_J9!vm;eF=&P~Ios(2W@WP^~%AYUXpP^Pfn9igGqc-F{xFjqo!5 z@v=xOwhkp&*9D!}bEa!}b1t}u<*QxFd^v+9i#rPb3nw>QOE?_PpnqOo#JhMv(te&{ z45jGywbtm7@Lh|3Ba4-{4`R{hU`Inzdqdif#!aD*erFz+dO7OuTbho~Y%T8#K+0`$stTWG{C7f?G#3MeDnp!e|A2P%9HV4+>pW38LeT3T#aE&rQiy0 z5P4${)j$^41oH6)Whc8Z8!ksZ{PQe%$G3;}78Y!p(S@)dhL(C``!fDaAZ=VNO!n}_ zKIMH5RtDwcp?+9qiQfsb=HPA!;iZ~vy2 z^xhQwuI$_N(cOH^1{o6PAZ1p(Mr$I)Zo}RS{6@#%Uf8qj0*-Pkmmc&0JJm)#jr6US zLJKoxk8jC&R44{T%tO@#J9=KojruJP-KQ+`AsOPG+TV5w0=Jt?e>y~uai2b3jkTWx zLvvNtcT8%MGn)=(RNh?X-2(Ctf*IkmZ=-Ye^Iw*}85U%7;psWN{5dDFnB8TJsW{HP z+N-$e=8X+ycJuJU4<9}V*c&jZOJh>v-n0D>9^G;{h1xf?X7J0nca58xsz`|ebqq>H zWG9CSDUD`iWDFbURCea>*e8i;S4?ae=0bB=rxY#I2#zW^Ww$5a}h4* zYm8Uur5A8wmkL&QL|%j+W8F({b{v52@%VE}A^0C;xASiqeuF>v?p?dU%MnBs7{=V( z!PM7j|1vIg!xCuKD4kFp3-$Yx^SJFUp}zOm3HvJrrHj7Q9mml7jsdu3>tphhG*h3x zyT%)~`eL?`h8-pK?v8ubLf5s0QQu3Y4~LD-w20%&l`mt6b4!Cr@{aKF^3F%!)NtGWsp*c& za_>kGNMv;xz7ofO>(*TUL%xL%jL@lp80gFlsAcu}^W`|&8L=v0GBHwM<~VbznF|Yb z7%?4p7(>3f$3b+|N39hLVBT_H60tP@l!(31-R1SRPfh0f2+|JjO|enk+!M)(Zjq-I zK)ul(ckPBSNxph12yxrIyk4@lQL($gEDb8`Syv7`Z)G{r%`Pn4a)pw;gI6QXz7E}t z#uapL9&+c{?`fNJZp$_Eb92wy6_0mB7MN{K;)*f2$T0VnPO)LwcBjwO5Tu0^yU}gj z$7YDH5OW7_SGu5%;DA6NakP?z%kXag#Us*9v@fm1!s1upv5F9I?Xu3UvJ?dFJ*{{- z6v`Dwg~w2}ZIsNOl@`H1kagQ<&He;46}06Bw>@0Rp54{dpH4+3&AOrzZtY{B=lR_Uui^=D zd_$x-40qzStzc9i0{(IPT-9H#ir8vR50Sx9u^sN$x5oB?ba?xyvz5eRxV*UI%r%!0 z(+l9yi(2S*@Mk2eh-ZUWY`h#T_botaxK&{E!6NA)oTmk|uU|P;LHQhH z6EJ!Eo|!otVbOQVY1pudXT2^2deF!?{;KbSZN;<)C)9xgg`b9HWM;A!xKRs1YK~~7 zl{7TyN$e_*7{+YMSxI_cM)@J!&bg{4DjOSv;$GZw_=DM22f1y^ceL&KJZa;O)M&Sa zdmOcZ2jDX`OCG`^@g5ZMq4fr<4-d4;*QRJvGOoLSrZE_^Sx?b0>+t;fb3waF9U5lQ zAA0OL+qCBwFahoel_UZ3_WYpcS@0!MAg~B=RN2Mffj)xE*3AJo;aw4w-}BYsD@#i&2}}xo>CQ}W z391TPvaPLp|hYn52J--FoKiCJ0xi!y+HFw%ip_5ZvCOva1-Czqm zqGQ%Vw{Ep*gJxbk6pzb6gah`9!{|*eFvdeaDBZSh=LM|S4i~SAE{IYrin4Yi>_zhz zg=fwpz$xgJNoDt6uWc=8LbbcQ+uuLPY0RyeodTpVU%u4M8F>$383m<|$AQWHV5(kj z5izmIjf0Tg@VBQGiy8~MWtxfqZBEyh?k?N}6_FYX71>RJ-I}n7hyy4wkn4sva?<*o zJKKG!?_=`}+3u2wQ59oVPLlN+HVWoF=n9pROy9gU$bEa@MQROg5QB^;nLj1b7Xy1Kh3Y1tYc(~o7V|J5K9 zF35Km+coWX)ZukE(~lBRpAeG*@|!Cg>FPp&R?#betRMKn9@?Ltlrxc1c{gcu~-P>Q2|e0KfaS z019uF(BCc6!vXd%O==eECA94lomO28aI zqy@9q#;`f1dy)+Bym0JBd6+8_9$da&;YW9MR5p^e!48I*s@27!AP(s3G5H?5ma>Q4 zh!P-2FGas*9=&)sr{eGryRP_Vr*7%ac7EyZ9z|8<1>yX|>cD5&%NMc}9t{D2-V_V?-dfb|c>GMeHhw8tygf^_p*Wv|)Y@1hI`hyf$1f+oQ`EZX_)@aUmP=Mq8 z>}$J%Ga4I*ln|-;r&WhYLeTxMt0nbkrMET$57yT`e+>`6JiFv9i+M>3fJ>7ej*YOZ z#6{bB6%ePseg7^{|3HyNwu^>zb8c0_?1lxpu3M3Gg_K&U&^D1)D*C0@xnDzFV<3|d zGS*RntkM~~5apUGMRzYn@%y)LpG!;Q+Md}!7fL2v#(|wjEoTswJt{WB zF5~V|OvmTJrSpg4zfB^7g8aWI{39#&KOE?YuSaC|fMeS4!(YTB;w^rEl3&vO!&Ble z7_q?8-!kGNv5G!HaleTW4yg(!9GoA?MSVR~C~-|}OjmU{*~B5SZ^jg-ICOC;n(1Yp z^m@eQaSZ0&Z+xd!r1UwX^4TCV`osHiRkF3Xrf4bJK@pT%-k8`Q(>w6TMQy>4F8Ej} z>nQIsGV^?z{N-3xzV~MH$oEE)$aV1_H}11fHNHMkFjzdNxckQ5$h8u4y)o8547UvkGu4v7z2Xu4TZCa%;HMy zXtue})FmV#-MpbRL3x6P4*JdsWK$ue0-QVV1iw1dT_ao7KQ}!(YWC4b1KS+?ORP?2 z<%OEyBIX!gP#PQ&`BuQN=B(Qm!Ky z@V;I^cH8DHOuW&fl-m#;!QyV+Wn z|7~H0=`Zj16qX3juD@5{x5Z=YbaE5v`OB0?K9mMn-u*LBw-pB}1r(F%#P{h8-~@sm-|C}2njKJT*&mA~39Jd=8GdZs4h9>x~_^dDRRY#=XbM^>?T z88nJ@OQ(t@w*&1(ruI){W6l+K+x;bG1x5rcUXC@Nt81}33dS!FQutdr`%11XYy%@v1wg$(NRyH*}f#>t!SfcIe0~qtC1VM3U(Bk~HD_ zUro^suTSs~Q4(kdbvEtwe1#4b-`wYbO#**gd0&p^@|nNEBv{qf*-hPelpoMsuG@Tn z;{!41E}D>;c7@B$Zs;?jhT)I1dEfQWR16ph6zoD>sKCkj$nj28=hr>7Aqpp56{>?X z)@$bXxo|xD)N3h}_#+<(unG@$NR8QI%{oHj^S*txAw&#?j)2XDq1f0fFziw($%FR) z0#h8XcqwC`PWvMb4dd65q2jOvCbFs45vPtvy)ywxVPu1S`EKDay|h9DCtQMOhb6JY zHmE8lp;@zzaaXH&->vMrNy7=&UXQ!I&cJo#l6YCmx=ZSoSN>$3Kf zXU`hhJlAYq|7PQ-#I%83uFNsDv4Z#bA;6LTM|Sqj@-3|@M+>?u^b$^TzyI={?#|mX z5k>|&!P4-!kbrEc%x`W@#3ycM5fjc?A*T3?rMZ9YKQeb*c{x(bJ>IX2N7$kf)w1!y zd?htB54m$zwp$gchi%7fI_)X-XZOdQXcxNTIs<_nEC!Wmo)%frv@iS*sNy#eL9;Yi zx)j>3q<%QW#BRnfUp9sPZJur5auh+nG5Hbs>!har*2z=xtPW zeXK*E)9xI1=Tj;D*(>9iWB$>l-YiHT>(IPM9mieCU`m+!*C#7_v0M=N_Ns z&&tN?q^V5%&xXxn%#)^xartUNm&yX*^ue1{WjGsKCiznSG>(TWg`?F%Qi~py$Z;xmCegF6F zoQk)~p`1!eIkUo?mZB)qnHrWuQ_RGihD|Al9FuZBlpK;6R-0pUh=m!E!)(lPDn=QG z8Nb)~eE#};|Ngxm4-Xr!!}YrF>$>jidR~Wnl|aEaj01-QO;r^+z;-`s?tR4*z`Txpd!E>T zKlxe)22NLKr5domS}0{Vw6j!36>+o~a{{O)nhWw!GKv`_~(kyw6>e1h&<%U zd2-BsU_)khoIJcnp7hsba-CI!zS;LfbLGYPm(Fn+nprk5Osv3bPRV{VB|pDnANcq9 zkDi_~=07{VS$AAP$mtXpk>U6dz3jO;?`9e7Hs@wpYNQz5tM||d6DC*%M%z9fyWv5v z86~`_xuJ{!35K~czfHZ|2LKyVyxY_O2Y&zaZSH*~XR4Q~)}QQr=;pR%xR}Uvs`em) z0$oldE{6nDzB5p>n3}Z}X+;0kj=2dH+bYB%A#ri>>FN$+0rYWLTDq{Xc!EHm9WEfR zDT3FcH6(z>GnbQ8MsV<3Why8siMyC@mOv|mA^FO^e3P4kA8h`d&_=a zzh&S4#$;F~nM)M-0=dU~R>BY$R-cp}b;_3@67KwGtV5iGOh z&px!U@D903s~i7Y9mQP6L5XonSsCp>JLF$|M0pV0ST3+$*}QYAud~zqKRAfG>FXqd zeNj)5P4|x?-2h^`zz2-puCU#8dz1`<1Nm%f6W+qC+%q(oLjNS_ncHy!d zdj6WTL&kmP}$hSkBu=aokUMq(r0Y2(`2Tf6A8oh64^csVA=QE z)FQ6g+3o$rfM-VmIH1De*q6!47!VdSrF*H3Nt6@D&U zR@`6nXY}&w=w!h)TU(&b&r>}&Cpu48E*``#BLiJJQ8b_KxAVWcBh(1}p|jH!&gDR> zJ^+Y}ww&s1cTAgsOG`E>8$(~$rIlT|&F zC4AQ2UbfGUD~bH_>jEHk940!saJ?pCZR-kAdcCy>_ZLuMhFnTF!j_apVqy(MAzgDC z_qN$*udD>JNTBQp`M?+DUDt=wAQ1Gb=9nh{nqENYhR#JL#Y3lo3xZc@uB&UJA-m4V zt#-)Y8zfQWqPzeC>CMew@O2-R+KXFMW{&r)*`G+36f1A>_@~~ZUI0uO2CqF| z=l&bd_`7jot}aMY#GCM^Bfn(LsYz4vOs27e2T0KU7C5?6Ykq%!Lr6y~w4{|dn0|ZK z7iMv0#^w8BhugezkR-NsPb0R?6`K9k?CFedw{+%TKJy-xHJLtwJg~U1JXm+JBKS`& z+_s9=;BjT#0P{t=@svU7EU!jyT1EnaQSLze4RW%dpE}vi5#_DDp2=g%0}st?SSvXE zG+@EvOctstNbD#jY;MY#rJ5}Dsflg26^DI0ePdn>whxjt7L|RuxkQch6c;Xk8SU`- zohvuhhO`y3x^e+tLMK~3KfnIKNYpcMJ46LNV0ldfN`~lGCb<%pYEub``|upHNUJPk2b~GE zNxWlPf#^9k|NOM?Z3abe&l#u86Le=;Qixq z@}Yx86PYUsc2&P`{yusnwve>RYHn-9HjYg#I+`>-0%a01h1c3%MM0&~NNGyF zYmYf9AvAUQ`L*3u#wHEMArNez?oYn&1CoEr>0$99#vj=$8t9h|4~}vBVp#bf-aQ$f zv;i0@yKUB`Bd6o%d4S?pFmBE!m{IEcK3Iyk0Il;#UwvKPufNt^>RUY}KVENz%Q3ud zW!!El8>nV=Tdv~=yQQbdTIenot1ca^!8?dCefOFS&^Li|k9$jVTV58fG6r&pLpm4s z?Vn%S@oRe0a~<1nhZ#a8aW}h-=<25Her#>=00U0yOu!rpENq`R_coun{$!&ludVRv* zanQk*mu1-|#!YYR3oLrPs}oZ7~>dR(fb(~?U{d$n3GwDlGZM3oD z=;OYZ%ey4TR!B|ONe<0NVa&QYR{d)J^mi9y^Ul@ODAWDZcb32q2S0FxdS#ZCv%B6q zAGo%>&nl`_?!Hw`N@LP$m^>D9%=h`06RP|Jz|8+s;qr&{KJu2=pO@0hBYnEsVb^lS;zy?pJt?C@f6Kno202L35^ODGd!(x+tJKRHXDUD;7f5?WMQd2tl& zuJ@?LDtNYtURe#d(*^|Xib`tieY5_lBKp!y$n0p&tpd*dvHZ6jN15yM>Iae?nr2{w zCiXd+dD+adBpBuA@xB8}t*2DhzWoEUwopGJ#5g^EyZ1!YQvEve{&d$=|L1#JldnF9 z@K;N$rGTiZ59aAJG=}f9$jP=detq0ZJjTJ>s34egv5zV0;C285o=E3ZmI1Xjo>Elc zjw6q81)o&v8Z$`QmQ>NzkdSBK5UA^>lyA>i$gQ1Sm7mmH$cL#H_%14ha&qz;WSqb3 z0yeAMOZ-6gu)C0B8+;X#0Zc0G^CL0N)W!W?3~uTBhoB+%{y4pBx0~AnocVEx9#=Ey z&f%ef^NAsqAS z%WZq@sDF&xgd!7$Q$~|3(CycL92d4tJ~{sOGsMM?gl_qZ4Q;+y28gx&%zHWOcdt8I z0}gff%5^%%*$aPhSqo>=o2(N4^-Nt}I7a(i%6_M2-!#QP;Dfm?##*l4jl%GUU_ugN zNL&7av|_2<3LmZJw>KD(aOvTiAO2hxnd0e`nP+gPi1(k~`d44RS@z7>oy?Q@-&-et zEifZNEd0t?yfNp%H}_Ms`-AFIMXr!MCsVeU|q(8N2K}^)31|vHHvFzXZ(V zuAlmI3SPIBqUcTCWgjYY2S5K(c9;b`*lzI#I~a*8Sx0Q&YEOEgN#O*!u%G-;T=8;i zktzAOq~vcSOO@>zOXO^yXtW(!`gsmNvCc`4db{lY-g^G+iJ?WzL6xzsr=pABo$PvH zH~k0DUg*q_lqu;8ol{eJ_-qoN=V%ptR-2Gd<6+W107H2^lLK1ky|DW4XsX&1Vn*nn z*7c^!C)((r?fcED_?q|kU%p6S?JhQu5UYBLF=3l+o7}D=DRF(#q0uj+Z&}H=!j2`lKAsAo zV7NYmS%&sRB|1xEEY1pf&&o+?y@c=hGx*=Ou@zUhJDelJ?_ysy^VW-TMC#z_gy4_9w7H2ETXSUeZg_Q{1;0ZtQ>7=`8}7+ zA5?`uL~W;fu4_cHt8qvZN2ZB z=@Z2{*169emsMz$!heDf`BL6T}27%>3BJBHx9b`AX{G|yIRPasGnV^Gt^OqZX zvcj@CCVT?Cf)2PSCI7Nk0&7X3&(K)F@=RNuyiIy8n%n&QqH+6M&R}7A<*UglzcJCR z@;N8F>fSt1b4_j^9yutXr!B@>2~U`rqSHE<%e=QIyUwB=&RpbruJew@c&6ZiPDCh**8*>c1+K&ZqM0@Wlve{ zFfmM$rs8e0&|N#{`H!uoiKDvAtjy^H<6%|y=wME?z^+QIGODPBZ~1p-$@lrW#gj{+ z)`aClopbjcLW4sg=vovmT?J4?@)!37Pv@3}>hF34fEm0+ns{FdWxL%A~`S2=u)>Rhx=U2-Hy& z4)}o7kh@F2{dN09HGNjOW3=3aJ2!Bfl&_W0@zEDDjJrin8PvA|OrOB@b8vwKtOLAX zjBP4s)f?U*D(&QA`leY^6AR3V*aZX5?@}0kNO_#~!NTyziqVeLtdLH@ME=vjU;ASa z0Cr~4i^e=x4WAm(Os8m5u(lyL1BOWdEp#_+D44*vSh ztQahGxC29`F;1$k%u?eU-Azkib@Ifn}b+6qX;Idg`_M`i;)D^sHXEIsr|?VHEW z%*rFf6m(M~!lRJD&+XDJ9LwGrBsY1$-{FBPBlu{0O@%qPttx!H_-*Qg>W;IXeXggN zr$s5L+qVft?;=tKvN6E>CuK5_VQov0!I(=ZQwMQxS;nAg)eBRp2EEAk(N8X09 z_%_8iFN{n2I=m|XPHr7yks6#)zdX(+%>|YHnN4&XLK;9`4o{DL_9SF#d~|84*~fHT z9GC?KaX5*R{@2D>83YAJ@sj;Rj~ora46HmP;vzOOcS|YVQ7bnHW0uSN$pz{zBQ@~h zr>87%87OAWo_aXJDwTEAP9BC03sP>Nj7^XAGF3chgFM>f)%C`xDSgLufGP4@ud6nu z0)h9ggpFTD7D|{5N6TO`kezvy9tzL8@5MZ5CA(E}1}NnuMQnwZi~ zrAaEDBUc}st{5b6Gl>~Oos;2&5R0?Gpx=$DsgD6jLip4~`L#U=Qacjh)mGV*5jvOI zP;}DzRtlP8>`13pV7QO*`($S?loB0hFGLDyy{U^d;ejb*DV%C{wTB0lU_*@o(B0we zjew`vFJ)|4i4C>rr%tq=5-J8YMexLWX5l^5fqL`Wch;R-FT!Gq(UPIHz(7Kw9|Q=* z((e)jF^26k6>RNFWm6*~_8xicQ*c{8z2Wg85SyOOjXF`=+RWobSOE+*+Q`7QO zh_c_e4GqHD=}^46KeB!2Czw$MZ9Oyp+QV!wVH5052=O2Os*nP10IRcq2g>cOZlHEy7bHw@Eu(TZ&C&*Si$HW z!bkgqkh9PWl$KPYJe7dYmga?dw^i5Pu`F!Hx3g!)gl9WWWwYt-#qQ6fB%?XJ{H&qHV) zbsLHEERGD~da%GWtwgA*cxv26-a$fa;vHOWt4Huv)d$SVeRv>L%`UbXqJYO7Q&1Iu z+a_XWz8v^Rzqw`rp5TFGM{E#HJp_v1IfR~+q3XtgBk1XELup#NSa87`-M0dyFw)%B zoG9|Q2uRztp=UMbjB&;FCjII9ymT-=8#F^XPc}H1p@mY{#iBtHKW47mV}Lj~Ig~!$ zLsmiJHF9ad2FZ+3yl3=q$i+~UJM;Oy$+vv2f?g_lP9Mm}nbGLsoVj8IWqV)0TZ>OR~%PdtZXzCT%yB*v}RxXHzTN$G`o|f zV98xMK9uwflpbXC-Xvo23zSj>+#C=Wz)1uS`{VE9ws4K%6q;Wz3Qif~x&?W#0hvkR zIFc!0yVKFCAQmE>E|*sXp$f_J(mZ}jaa5|>zjW>gjfvfLP()>bW@N_1QQmFfct@0h z=TE)tMA#KT!~o;DVAlXynzU(Dt}gQ3F?XiGU`}Q7n1)F$4+eEK!{* zY>-XsKs@yyVH0KvpXK2)1IBLm7PaV$y)-66pWIKunrIOTsd#i#X2ZMyo(2#PgkzKw z&X`(3BdbXs#M7xcLyR>4WBpK644xzlBC@229{refK!zL|7Wcd=HV{KNM%&=CY2k5N zBn3tcO%!07&oUma)VOr#3~)LsCJ`Ust|swyIsEc#qu%Asyq{l|@O}FUB3iu4*ai1$ zc2Ec< zlS0!O)4>INC_l3SF-NMo`#J~-2|uzhHMtp?ju5YR;5<9kHMo*eRvImYM1x$L2Uh|; z8fWMY&5UPk$&)rSrjH+K;X)0ZzEZGA{@aOjX<%J=_AXiGK_n(rk5^Iq-UPL6VpEvB z6QKMt7Z!iOgtyp>CO!eV#(7PRF#^?&=%qR%;B=OF2e|S}q|i+CRtFwd3s=l(iqad^ znT+;iQ3%TyypWlm>ZqwE4Ntkvrkc4*e?Ry$MyV;#%=^7i2hnuAMnFhLJxe!&rbA?X zX;<4Z^f%)L``qc*%Z!!q=ap&)^|Fhrea@o0-Y9B!uSz&{KgaAWaF0lg^q zSp$s{G$N7HKC+ObH~HtcGs+-qd4~WC2AJY`=H3|skLIE#Yp5snZe(^Bk)VtiwD>HrR%J(yjl_V7g)>2!Px0)Yz9cup zkCx<3Ewj|}NptbA{6T;9UHvr!$S`aQ1_YPu_FzuQ2xg;#TxTG_n!o73E46gJLexTP z{gm=oVci&vU;5f^s}I2GKcztQ{5Lh(mdzXGUXj~ca&7k=c4xH%Q;!yB7fz>Z;R33F z6Vss#H3`K`tt@?fsiiNHxc2Mo^+CWa({S)6qBWtQbZ z;cEHD4&d4v^0U~2uEhaeIu$dS-pG!1qB;w=Rs;XG%>-{m3M1zoGOxcO%%#&+T$^hL z;8v3#*$Ap%e+G=C>5*<@=<4GqmP%c9aBgV6@sKw`@lytWQ-uq6ldY=8HZ-iMb^=mM z2A%=U_Hlw6Bd7@8M8hYK!e)Lh=)^0kx^{Oa)7?(li=+TaB*3V}fZk6RjBE*_V>3x< z^cB0I$K4pGS20ORfzdUR-RP7jL3^*q@3io@dqZ8-+nNij2#jr0oarTNcoOPK5P=i} zlu7HOkel3mGY{GserU3X9Fy*0UOQ}^M}mH_`8jR24XPhj+?QfGR!Dg3toojP+>)fZ zk#qpWeWNV*{MH<6H-^*U%})n9wUU5tAH@~fKFzs`KqR9sD8ojE0jxy=?LZ5Eq=on3 zL_H0mUeFI=pX>Lu(+7i) z1P?d^Au#WDukCP#byc4Z;H>O4LK2#w8<%)Mg}}#(Ck{KMsK*zv3?6@jsZ5D%0)$ zQNXSK(bY|LMl%v9;Z}OXB0g_GP~Q#YYDnhYT@7c;|L9PgmDtLyfbOjU7L^(s!x+ov z{EsRS>b&RUiH=? z83UJ8+_;+kCfJsi_Ft{!QY0jjrgTzt2qQ3y@yiQz8LuKaGLwS;&EiL8P{XzQ*_}G$QK|5-I37xxAIKPlsjNYKhwCXxRc=#r3 z_iyP}5!3U#TO$%;!Cf!+m_5*;9;L`QuAE(5**@_UsEpb;Jy)si(^Zkf@~?>Z@vszQ zlX9(#165N7Vj1PPR3kE#==>wW%>P={iZ!KSzWvyLzjzXO6QAsq-SEEZj;!6?n1n5Clo?c%Lv8k!`Ka$?NJnHRzR(Xa_d=1CB1~`+&>gpLMf2uS+)u(UDBB zQ?tWk911DPmteZ7aUD1PY4%7N9BW>U&Vqq z2k9$JCu+urW$tSEf|>$yu5@7d^)BZbcvg)y{G?QFS_y$wRMijNnFfPiCi?C}F$>Qc zKI1#I5|=@T=y$yTW^8i6*z4%+UMD7Bwe)N12d%}-zY4_{0mW=%{~ce`a*gf=!|uP1 zzCB)Dz1>kmC5B9doJu-sbB&LzMo5g%GE~fMW8Y!}&C1xFMOoIK>Q{p}s7*gDfzewe zA&QW`@wQgW$Yw)6#D3ka_5NP>NlT(vdJMIh-kd-!yQV7FfAcD_(|6MzOgJLl6Z%A2 zyko}4ev=}Xcd*l%g|;HreVb*gx!kT$lU>R&`15_&HqKDwK74!RyfU^rsbo{>n=d}} i68ND1Uyt0jRmkyT6)DpF_e>$+urRw~T5|4Q=>G%#mpsA% literal 0 HcmV?d00001 diff --git a/tools.org b/tools.org index a0c06c9..c648f12 100644 --- a/tools.org +++ b/tools.org @@ -88,6 +88,12 @@ - Per Windows - [[http://gnuwin32.sourceforge.net/packages/ed.htm][ed]] - [[https://sourceforge.net/projects/freedos-edlin/][edlin]] +****** Da far vedere su ed + - La pagina di man per ed + #+CAPTION: Man page di ed + #+ATTR_HTML: :alt Man page di ed :title Man page di ed + [[./immagini/ed_man_page.png]] + ***** Gli editor visuali Con l'evoluzione della tecnologia e il conseguente abbassamento dei costi le telescrive sono state sostituite dai "terminali stupidi".